1 / 4
文档名称:

五邑大学EDA实验报告学号显示.doc

格式:doc   大小:105KB   页数:4页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

五邑大学EDA实验报告学号显示.doc

上传人:小博士 2018/11/22 文件大小:105 KB

下载得到文件列表

五邑大学EDA实验报告学号显示.doc

文档介绍

文档介绍::..成绩指导教师曰期张歆奕五邑大学实验报告实验课程名称:电子系统EDA院系名称:信息工程学院专业名称: 电子信息工程实验项目: 学号显示电路设计班级: 学号: 报告人:一、 实验目的1、 学****基于VerilogHDL的设计,拈设计输入、设计处理、仿真和下载验证;2、 学****基于状态机的设计二、 实验原理用数码管除了可以妞示0、的阿拉伯数字外,还可以敁示一些英语字母。数码管由7段显示输出,利用7个位的组合输出,就可以形成部分英语字母和(T9十个数字的显示。利用数码管的静态显示可以实现一个数码管循环显示学号的功能;利用数码管的动态显示原理则可以实现多个数码管的学号显示(滚屏)功能。三、设计要求用VcrilogHDL设计学号显示电路,显示Cl己的学号:耍求一.•用1个数码管按顺序显示自己的学号,输入时钟可以用1个轻触键KEY实现。要求二:用8个数码管显示学号,并向左或向右滚屏。、程序设计一):modulexuehao_display(elk,rst,outO);inputelk,rst;outputreg[6:0]outO;reg[3:0]state;parameters0=4’d0,sl=4,dl,s2=4,d2,s3=4,d3,s4=4,d4,s5=4,d5,s6=4,d6,s7=4’d7;always@(posedgeelkornegedgerst)beginif(!rst)beginout0=7,bOl11111;statc=s0;endelsebegincase(state)state<=sl;endstatc<=s2;endstate〈=s3;endstate<=s4;endstate<=s5;end//display1//display2//display0//display7//display0sO:beginout0=7’blOOl111si:beginout0=7’bOOlOOlOs2:beginout0=7’bOOOOOOls3:beginout0=7’bOOOlllls4:beginout0=7’bOOOOOOl//display2//display0//display7s5:beginout0=7’bOOlOOlO;state<=s6;ends6:beginout0=7’bOOOOOOl;state<=s7;ends7:beginout0=7’bOOOllll;state<=sO;enddefault:state<=、仿真及实现结果仿真结采如卜*:••••D^OelkBi1^1rstB1::::::::1昏2田outOB00011111111~Xiooi111Xooi0010X0000001XoooiiiiXooooooiXooiooioXooooooiXoooiiiiXiool)(elk,rst,out,outl,out2,out3,out4,out5,out6,out7,led);inputelk,rst;ou