1 / 4
文档名称:

EDA运算器实验报告.doc

格式:doc   大小:68KB   页数:4页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

EDA运算器实验报告.doc

上传人:762357237 2019/4/23 文件大小:68 KB

下载得到文件列表

EDA运算器实验报告.doc

文档介绍

文档介绍:实验2运算器实验预****实验报告疑问:如何用原理图的方式来实现实验中代码的功能?实验中输入端口比所给实验箱上的输入按钮多,如何解决这个问题?让7449在显示十六进制数的时候,会显示乱码,如何解决这个问题?实验报告波形图:参数设置:Endtime:::Clk:时钟信号,设置周期为100ns占空比为50%。K:由k[7]~k[0]八个信号组成,数据输入信号,十六进制。sw|r4|r5|ALU_bus:由sw_bus(输入数据到总线)、r4_bus(将R4寄存器的值反馈到总线上)、r5_bus(将R5寄存器的值反馈到总线上)、ALU_bus(将运算结果的值反馈到总线上)四个信号组成,输入信号,二进制,低电平有效。ld_r1|r2|r4|r5:由ld_r1(将运算结果存入R1寄存器)、ld_r2(将运算结果存入R2寄存器)、ld_r4(将运算结果存入R4寄存器)、ld_r5(将运算结果存入R5寄存器)四个信号组成,输入信号,二进制,高电平有效。:由m(运算种类的选择信号)、cn(表明有无进位的信号)两个信号组成(其中m=0表示算术运算,m===1表示无进位),输入信号,二进制。s:算数逻辑单元控制选择信号,由s[3]~s[0]四个信号组成,输入信号,二进制。d:数据输出的中间信号,由d[7]~d[0]八个信号组成,双向信号,显示总线上的数据,十六进制。d~result:最终的数据输出信号,由d[7]result~d[0]result八个信号组成,输出信号,八进制。初始状态:K无输入,bus信号无效,lddr信号无效,总线上位高阻态。05Hà总线(sw_bus=0)àDR1(ld_r1=1):K输入05,sw_bus=0,ld_r1=1,可以看到d~result=05;D2Hà总线(sw_bus=0)àDR2(ld_r2=1):K输入D2,sw_bus=0,ld_r2=1,可以看到d~result=D2。AorB的运算结果(=01、s=0001)à总线(ALU_bus=0)àR4(ld_r4=1):alu_bus=0,ld_r4=1,=01,s=0001,可以看到d~result=D7。not(AandB)的运算结果(=10、s=0100)à总线(ALU_bus=0)àR5(ld_r5):alu_bus=0,ld_r5=1,=10,s=0100,可以看到d~result=FF。R4à总线(r4_bus=0)àr1(ld_r1=1):r4_bus=0,ld_r1=1,=00,s=0000,可以看到d~result=D7。DR1加B的运算结果(=01、s=1001)à总线(ALU_bus=0)àR4(ld_r4):alu_bus=0,ld_r4=1,=01,s=1001,可以看到d~result=A9。R4à总线(sw_bus=0)àDR1(ld_r1=1):r4_bus=0,ld_r1=1,=00,s=0000,可以看到d~result=A9;R5à总线(sw_bus=0)àDR2(ld_r2=1):r5_bus=0,ld_r5=1,=00,s=0000,可以看到d~result=FF。DR1减DR2的运算结果(=00、s=0110)à总线(ALU_bus=0)àR4(ld_r4=1):alu_bus=0,ld_r4=