1 / 5
文档名称:

实验13 VHDL 三态门.doc

格式:doc   大小:141KB   页数:5页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

实验13 VHDL 三态门.doc

上传人:jianjian401 2019/8/11 文件大小:141 KB

下载得到文件列表

实验13 VHDL 三态门.doc

文档介绍

文档介绍:实验十三三态门、OC门的设计与仿真一、,三态门的使能端对低电平有效。(集电极开路门)。二、电路要求三态门、OC门的逻辑图;用VHDL语言设计三态门、OC门,用尽量多的方法来描述;三、,又名三态缓冲器(Tri-StateBuffer)用途:用在总线传输上,有效而又灵活地控制多组数据在总线上通行,起着交通信号灯的作用。逻辑图真值表ENAOUT00Hi-Z01Hi-Z100110VHDL程序行为描述:结构体描述:,又名集电极开路门(opndrn)用途:集电极开路门(OC门)是一种用途广泛的门电路。典型应用是可以实现线与的功能。逻辑图真值表AB001Hi-ZVHDL程序行为描述: