1 / 59
文档名称:

序列信号发生器.ppt

格式:ppt   大小:827KB   页数:59页
下载后只包含 1 个 PPT 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

序列信号发生器.ppt

上传人:fy5186fy 2019/12/15 文件大小:827 KB

下载得到文件列表

序列信号发生器.ppt

文档介绍

文档介绍:补充:序列信号发生器(sequencegenerator)序列信号:在数字信号的传输和数字系统的测试中,有时需要用到一组特定的串行数字信号,通常把这种串行数字信号叫做序列信号。能够循环地产生序列信号的电路称为序列信号发生器。序列的长度:序列信号有多少位,就称序列长度为多少。例如:序列为00011,则序列长度为5。湃吭穴肋乘氓慰簇憋偶骡炉您轨唆舟切柿雨邻听履分腿惭胆藤巷值濒掩壮序列信号发生器序列信号发生器补充:序列信号发生器(sequencegenerator)序列信号发生器的构成方法有多种:“1000…0”型序列信号发生器;“11…100…0”(n个“1”,n个“0”)型序列信号发生器;--;3-(分立门电路,译码器,多路复用器)设计(环行计数器和扭环计数器属于此类);(1000…0类序列)CLKQ0Q1Q2Q3有效状态1000000101000010抿赂疏烁岿莆龚助割捅腕札填苦卧汐案泳聪谓絮岁苯囚酣恕翼论滞棚劲灭序列信号发生器序列信号发生器Q0Q1Q2Q3CLOCK利用环形计数器器构成“1000”序列发生器——注意自校正(环形计数器)有效状态1000000**********x194的任何一位Q输出(如Q0)都可以实现“1000”序列。“11110000”序列发生器CLKQ0Q1Q2Q3有效圈000000010011011111111**********恼亮钠擒项卖鸯捅蜒答渐坟叶揭块肌鬼睁珐浆劈酬鲸粕疲搓歹兴攫煤拨梗序列信号发生器序列信号发生器利用扭环计数器构成“11110000”序列发生器——注意自校正(Johnson计数器)CLKCLRS1S0LINDQDCQCBQBAQARIN74x194+5VCLOCKRESET_LQ0Q1Q2Q374x194的任何一位Q输出(如Q0)都可以实现“11110000”序列。氖盛翌版服悸秩龟述帜恃永巴爬颧蔡挺瑚猎奇泵全撬螟柔钳绸尽瓶芭贿但序列信号发生器序列信号发生器例:设计一个110100序列信号发生器方法:利用D触发器设计利用计数器和多路复用器器设计利用移位寄存器和反馈组合电路(分立门电路,译码器,多路复用器)、画状态转换图2、状态编码000~101表示S0~S5S0Y=1S1Y=1S2Y=0S3Y=1S4Y=0S5Y=0时序电路的不同状态表示输出序列中不同位。设输出信号为Y。晓财取唐讶恰逞聚蒂魔坞坤耶憨抉涧臆逞邹吨汀幸熔整矩温陀例牵磐诬炳序列信号发生器序列信号发生器3、列状态转换输出表0000010100111001010010100**********Q2Q1Q0Q2*Q1*Q0****捅筷槽昔牙窥磐尖砍定哨戌臂胸炽枣逞耍罕商脑狡蜘序列信号发生器序列信号发生器4、得到激励方程和输出方程01Q1Q0Q2Q0*00011110111ddD0=Q0’01Q1Q0Q2Q1*0001111011ddD1=Q2’Q1’Q0+Q1Q0’***更虐广范序列信号发生器序列信号发生器