1 / 65
文档名称:

(四)VHDL语言构造体描述方式.ppt

格式:ppt   页数:65页
下载后只包含 1 个 PPT 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

(四)VHDL语言构造体描述方式.ppt

上传人:cxmckate1 2016/2/3 文件大小:0 KB

下载得到文件列表

(四)VHDL语言构造体描述方式.ppt

文档介绍

文档介绍:代入语句代入语句是VHDL语言中进行行为描述的最基本的语句。例如:a<=b;该语句的功能是a得到b的值。当该语句有效时,现行信号b的值将代入到信号a。只要b的值有一个新的变化,那么该语句将被执行。所以,b是该代入语句的一个敏感量。代入语句最普遍的格式为信号量<=敏感信号量表达式;例如: z<=a NOR (b NAND c);上式有3个敏感量a,b,c。无论哪一个敏感量发生新的变化,该代入语句都将被执行。具有延时时间的代入语句如下所示:a<=b AFTER 5 ns;该语句表示,当b发生新的变化5 ns以后才被代入到信号a。众所周知,一个二输入的与门,由于与门的固有延时,当输入端发生变化以后,与门输出端新的输出总要比输入端的变化延时若干时间,例如延时5 ns。与门的这种输出特性就可以用具有延时时间的代入语句来描述。【例4-1】ENTITY and2 ISPORT (a,b:IN BIT;c:OUT BIT);END and2;ARCHITECTURE and2_behav OF and2 ISBEGINc<=a AND b AFTER 5 ns;END and2_behav;图4-1 四选一电路的逻辑原理图四选一电路i0i1i2i3baq【例4-2】LIBRARY IEEE;USE ;USE ;ENTITY mux4 ISPORT (i0,i1,i2,i3,a,b:IN STD_LOGIC;q:OUT STD_LOGIC);END mux4;ARCHITECTURE behav OF mux4 ISSIGNAL sel:INTEGER;BEGINWITH sel SELECTq<=i0 AFTER 10 ns WHEN 0,i1 AFTER 10 ns WHEN 1,i2 AFTER 10 ns WHEN 2,i3 AFTER 10 ns WHEN 3,′X′ AFTER 10 ns WHEN OTHERS;sel<= 0 WHEN a='0' AND b='0' ELSE1 WHEN a='1' AND b='0' ELSE2 WHEN a='0' AND b='1' ELSE3 WHEN a='1' AND b='1' ELSE4;END behav;在四选一电路的构造体中有6个输入端口和1个输出端口。a和b是选择信号输入端口。在正常情况下,a 和b共有4种取值0~3。a和b的取值将确定i0~i3的哪一个输入端信号可以通过四选一电路从输出端q输出,其真值表如表4-1 所示。表4-1 四选一电路真值表b aq0 00 11 01 1i0i1i2i3在例4-2中,用了两个语句。第一个语句是选择语句;第二个语句是代入语句。这两个语句是条件代入类型的语句。也就是说,只有WHEN后面所指定的条件得到满足时,指定的代入值将被代入信号量sel或输出量q。当第一个语句执行时,将使用选择信号。根据选择信号sel的当前值,后跟的5种状态下的值i0~i3、‘X’中的一种值将通过输出端口q输出。在正常情况下,q端将选择i0~i3之一输出,在非正常情况下将输出‘X’值。第二个语句执行时,根据a和b的具体状态,将0~4的值代入信号量sel。正常情况下,代入sel的值为0~3,非正常情况下代入4。 延时语句在VHDL语言中存在两种延时类型:惯性延时和传输延时。这两种延时常用于VHDL语言的行为描述方式。1. 惯性延时在VHDL语言中,惯性延时是缺省的,即在语句中如果不作特别说明,产生的延时一定是惯性延时。这是因为大多数器件在行为仿真时都会呈现这种惯性延时。