1 / 30
文档名称:

北邮 数字逻辑实验报告.doc

格式:doc   页数:30页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

北邮 数字逻辑实验报告.doc

上传人:phljianjian 2016/3/14 文件大小:0 KB

下载得到文件列表

北邮 数字逻辑实验报告.doc

文档介绍

文档介绍:北京邮电大学课程设计报告课程设计名称数字逻辑学院计算机指导教师班级班内序号学号学生姓名成绩-- -- --杨杨-- -- --陈陈-- -- --金金课程设计内容教学目的: 掌握 isp LEVER 软件的使用方法, 掌握 isp 器件的使用方法,用 VHDL 进行较复杂逻辑电路的设计和调试,熟练掌握 isp 器件的下载方法。基本内容: 1. 交通灯控制 2. 电子钟显示 3. 药片装瓶系统实验方法:先用 VHDL 进行软件编程,然后下载到 ISP 器件,进行硬件仿真实验。组员分工:详见各实验报告实验分工。学生课程设计报告(附页) 课程设计成绩评定遵照实践教学大纲并根据以下四方面综合评定成绩: 1 、课程设计目的任务明确,选题符合教学要求,份量及难易程度 2 、团队分工是否恰当与合理 3 、综合运用所学知识,提高分析问题、解决问题及实践动手能力的效果 4、是否认真、独立完成属于自己的课程设计内容, 课程设计报告是否思路清晰、文字通顺、书写规范评语:成绩: 指导教师签名: 年月日注:评语要体现每个学生的工作情况,可以加页。数字逻辑课程设计 2 目录实验一:交通灯控制器设计.................................................................................... 实验二:电子钟设计................................................................................................ 实验三:药片装瓶系统设计.................................................................................... 附:数字逻辑课程设计调试日志及个人心得体会............................................... 数字逻辑课程设计 3 实验一:交通灯控制器设计一、实验目的①学习采用状态机方法设计时序逻辑电路。②掌握 ispLEVER 软件的使用方法。③掌握用 VHDL 语言设计数字逻辑电路。④掌握 ISP 器件的使用。二、实验所用器件和设备在系统可编程逻辑器件 ISP1032 一片示波器一台万用表或逻辑笔一只 TEC-5 实验系统,或 TDS-2B 数字电路实验系统一台三、实验内容以实验台上的 4 个红色电平指示灯,4 个绿色电平指示灯模仿路口的东南西北 4 个方向的红,绿, 黄交通灯。控制这些交通灯,使它们按下列规律亮,灭。(1) 初始状态为 4 个方向的红灯全亮,时间 1s。(2) 东,西方向绿灯亮,南,北方向红灯亮。东,西方向通车,时间 5s。(3) 东,西方向黄灯闪烁,南,北方向红灯,时间 2s。(4) 东,西方向红灯亮,南,北方向绿灯亮。南,北方向通车,时间 5s。(5) 东,西方向红灯闪烁,南,北方向黄灯闪烁,时间 2s。(6) 返回(2) ,继续运行。(7) 如果发生紧急事件,例如救护车,警车通过,则按下单脉冲按钮,使得东,南,西,北四个方向红灯亮。紧急事件结束后,松开单脉冲按钮,将恢复到被打断的状态继续运行。四、设计思路(1) 将本实验分为分频,状态计数器, led 输出三大模块; (2) 分频模块需要注意到占空比,采用 when-else 语句; (3) 状态计数器都分为 5s,2s,5s,2s ,四个状态时间,通过计数器作状态转移; (5) led 输出模块的黄灯闪烁可通过 2HZ 的方波信号实现。(6) 选择实验台上的 5kHz 频率时钟,作为设计中分频的初始时钟。(5) 紧急事件发生时,要注意保存必要的信息,已被紧急事件结束后,恢复到原状态继续运行使用。数字逻辑课程设计 4 五、设计方案模块图 1、 tralight (顶层模块代码) library ieee; use ; use ; entity tralight is port(clk,emg: in std_logic; --5KHZ 时钟输入,紧急输入 tout: out std_logic_vector(11 downto 0); --12 盏 led 灯输出 tout2,tout1: out std_logic_vector(3 downto 0));-- 倒计时 end tralight; architecture top of tralight ponent fenpin -- 分频模块 port( clkin: in std_logic; --5KHZ 时钟输入 clkout1: