1 / 9
文档名称:

运算器实验报告.doc

格式:doc   大小:28KB   页数:9页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

运算器实验报告.doc

上传人:raojun00001 2020/8/16 文件大小:28 KB

下载得到文件列表

运算器实验报告.doc

文档介绍

文档介绍:运算器实验报告一、实验设计方案VHDL语言:libraryieee;;;entityexp_r_aluisport(clk:instd_logic;sw_bus,r4_bus,r5_bus,alu_bus:instd_logic;lddr1,lddr2,ldr4,ldr5:instd_logic;:instd_logic;s:instd_logic_vector(3downto0);k:instd_logic_vector(7downto0);d:inoutstd_logic_vector(7downto0));endexp_r_alu;architecturert1ofexp_r_aluissignaldr1,dr2,r4,r5,aluout,bus_reg:std_logic_vector(7downto0);signalsel:std_logic_vector(5downto0);beginldreg:process(clk,lddr1,lddr2,ldr4,ldr5,bus_reg)beginifclk’eventandclk=‘1’theniflddr1=‘1’thendr1elsiflddr2=‘1’thendr2elsifldr4=‘1’thenr4elsifldr5=‘1’thenr5endif;endif;endprocess;alu:process(,s,dr1,dr2,sel,aluout)beginselcaseseliswhen“000000”=aluoutwhen“010000”=aluoutwhen“100000”=aluoutwhen“000001”=aluoutwhen“010001”=aluoutwhen“100001”=aluoutwhen“000010”=aluoutwhen“010010”=aluoutwhen“100010”=aluoutwhen“000011”=aluoutwhen“010011”=aluoutwhen“100011”=aluoutwhen“000100”=aluoutwhen“010100”=aluoutwhen“100100”=aluoutwhen“000101”=aluoutwhen“010101”=aluoutwhen“100101”=aluoutwhen“000110”=aluoutwhen“010110”=aluoutwhen“100110”=aluoutwhen“000111”=aluoutwhen“010111”=aluoutwhen“100111”=aluoutwhen“001000”=aluoutwhen“011000”=aluoutwhen“101000”=aluoutw