1 / 44
文档名称:

智能热水器设计 毕业论文 毕业设计.docx

格式:docx   大小:636KB   页数:44页
下载后只包含 1 个 DOCX 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

智能热水器设计 毕业论文 毕业设计.docx

上传人:蓝天 2021/12/1 文件大小:636 KB

下载得到文件列表

智能热水器设计 毕业论文 毕业设计.docx

文档介绍

文档介绍:摘要 V
ABSTRACT VI
绪论 1
1主要器件和编程语言简介 2
2
1. 2器件简介 2
1. 2. 1 FPGA 简介 2
1. 2. 2单片机简介 3
DS1302 简介 3
DS18B20 简介 5
3 编程语言简介 6
1. 3. 1 VHDL 简介 6
3. 2 C51语言简介6
2系统方案选择和论证 8
1设计要求 8
2. 2各模块方案论证和选择 8
2. 1控制器模块方案的论证和选择 8
2. 9
2. 9
10
2. 2. 5时钟模块方案的论证和选择 10
2. 11
11
3硬件系统框图和总体软件系统流程图 11
2. 4硬系统总体电路图 14
. 1 FPGA模块电路图 14
2. 4. 2单片机控制模块电路图 15
.硬件电路组装调试 17
17
3. 1. 1 FPGA 模块 17
18
3. 2组装和改进系统硬件电路 19
3各硬件电路调试方法 20
3. 1 FPGA调试方法 20
21
.软件调试及仿真 22
1各模块的软件调试、仿真和实物测试 22
1. 1 FPGA软件调试、仿真和实物测试 22
4. 1. 2 DS1302软件调试、仿真和实物测试 23
4. 1. 3 DS18B20软件调试、仿真和实物测试 23
、仿真和实物测试 24
4. 2. 1主程序的调试、仿真和实物测试 24
4. 、仿真和实物测试 26
4. 2. 3水温调节子程序的调试、仿真和实物测试 26
4. 、仿真和实物测试 28
4. 2. 5校时程序仿真 29
4. 2. 6按键功能提示界面的程序仿真 30
4. 2. 7没有实现的第二定时功能提示界面的程序仿真 30
.总体设计电路的优缺点总结及改进意见和展望 31
1优点 31
5. 2缺点 31
5. 3改进意见和展望 31
结论 32
参考文献 33
附录A: VHDL语言源程序 34
附录B: C51语言程序主程序名及头文件名 35
致谢 36
插图索引
图1 DS1302封装图 4
图2KEILuVision2 C51编译器所支持的数据类型 7
图3智能热水器系统设计总体框图 12
图4 FPGA控制器设计总体框图 12
图5MCU控制器设计总体框图 12
图6矩阵键盘特征值分布图 13
图7总休程序流程图 13
图8校时、调温功能按键分布图及对应特征值 14
图9FPGA控制模块电路图 15
图10单片机控制模块电路图 16
图11自制水位传感器 17
图12继电器驱动电路 17
图13矩阵键盘电路 18
图14 LCD 12864的显示电路 18
图 15DS1302 电路 19
图 16DS18B20 电路 19
图17改进后的继电器驱动电路 20
图18自制水位传感器 20
图19自顶向下的设计流程 22
图20 FPGA控制器的时序仿真图 22
图21 FPGA控制器结构图 22
图22 DS1302仿真图 23
图23 DS18B20仿真图 23
图24主程序流程图 24
图25主程序仿真图 25
图26提示功能界面仿真图 25
图27水位调节程序的流程图 26
图28水位调节程序仿真图(a) 26
图29水位调节程序仿真图(b) 26
图30水温调节子程序流程图 27
图31水温调节仿真图 27
图32按确定键后的水温调节界面 28
图33定时开关子程序流程图 28
图34定时开关功能仿真图 28
图35按确定键后的仿真图 29
图36校时功能界面仿真 29
图37按确定键后的校时功能界面仿真 29
图38提示功能界面仿真 30
图39没实现的定时功能提示界面仿真 30
智能化的热水器一般的用单片机作为控制器,能实现恒温和水位的自动调节 的功能,本设计是以FPGA和单片共同作为控制器件设的智能化热水器,在减少了 一些外围器件的同时实现了恒温和水位的自动调节控制,还能实施时间监控,根 据不同的月份,不同的日期,不同的时间而自动开启和关断热水器的电源,从而 使得热水使用更加方便,设定后不需要人的干预,同时能节约电能。本设计编程 语言使用