1 / 6
文档名称:

VHDL各种D触发器程序.doc

格式:doc   大小:62KB   页数:6页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

VHDL各种D触发器程序.doc

上传人:幻影 2022/2/13 文件大小:62 KB

下载得到文件列表

VHDL各种D触发器程序.doc

文档介绍

文档介绍:VHDL各种D触发器程序
VHDL各种D触发器程序
VHDL各种D触发器程序
第一题:普通触发器
LIBRARY IEEE;
USE IEEE、STD_LOGIC_1164、ALL;
ENTITY Dchu IS
PORT CESS (SCLK,CLK,Q1)
BEGIN
IF CLK'EVENT AND CLK='1' THEN
IF SCLK='1' THEN
Q1<='0';
ELSE Q1<=D;
END IF;
END IF;
END PROCESS;
Q<=Q1;
END FFQ;
第四题:异步置位apre
LIBRARY IEEE;
VHDL各种D触发器程序
VHDL各种D触发器程序
VHDL各种D触发器程序
USE IEEE、STD_LOGIC_1164、ALL;
ENTITY Dchu IS
PORT (
CLK : IN STD_LOGIC;
D : IN STD_LOGIC;
Q :OUT STD_LOGIC;
APRE :IN STD_LOGIC
);
END;
ARCHITECTURE FFQ OF Dchu IS
SIGNAL Q1:STD_LOGIC;
BEGIN
PROCESS (APRE,CLK,Q1)
BEGIN
IF APRE='1'
THEN Q1<='1';
ELSIF CLK'EVENT AND CLK='1'
THEN Q1<=D;
END IF;
END PROCESS;
Q<=Q1;
END FFQ;
第五题:同步置位spre
LIBRARY IEEE;
USE IEEE、STD_LOGIC_1164、ALL;
ENTITY Dchu IS
PORT (
CLK : IN STD_LOGIC;
D : IN STD_LOGIC;
Q :OUT STD_LOGIC;
SPRE :IN STD_LOGIC
);
END;
ARCHITECTURE FFQ OF Dchu IS
SIGNAL Q1:STD_LOGIC;
BEGIN
PROCESS (SPRE,CLK,Q1)
VHDL各种D触发器程序
VHDL各种D触发器程序
VHDL各种D触发器程序
BEGIN
IF CLK'EVENT AND CLK='1' THEN
IF SPRE='1' THEN
Q1<='1';
ELSE Q1<=D;
END IF;
END IF;
END PROCESS;
Q<=Q1;
END FFQ;
第六题:异步清零,异步置位
LIBRARY IEEE;
USE IEEE、STD_LOGIC_1164、ALL;
ENTITY Dchu IS
PORT (
CLK : IN STD_LOGIC;
ACLR : IN STD_LOGIC;
APRE : IN STD_LOGIC;