1 / 9
文档名称:

VHDL各种D触发器程序.docx

格式:docx   大小:68KB   页数:9页
下载后只包含 1 个 DOCX 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

VHDL各种D触发器程序.docx

上传人:飞行的大米 2021/12/15 文件大小:68 KB

下载得到文件列表

VHDL各种D触发器程序.docx

文档介绍

文档介绍:VHDL各种D触发器程序
VHDL各种D触发器程序
VHDL各种D触发器程序
第一题 : 普通触发器
LIBRARY IEEE;
USE Dchu IS
PORT (CLK,D:IN STD_LOGIC;
Q:OUT STD_LOGIC);
END;
ARCHITECTURE FFQ OF Dchu IS
SIGNAL Q1:STD_LOGIC;
BEGIN
PROCESS (CLK,Q1)
BEGIN
IF CLK'EVENT AND CLK='1'
THEN Q1<=D;
END IF;
END PROCESS;
Q<=Q1;
END FFQ;
第二题:异步清零触发器
LIBRARY IEEE;
VHDL各种D触发器程序
VHDL各种D触发器程序
VHDL各种D触发器程序
USE Dchu IS
PORT (CLK,D:IN STD_LOGIC;
Q:OUT STD_LOGIC;
ACLK:IN STD_LOGIC);
END;
ARCHITECTURE FFQ OF Dchu IS
SIGNAL Q1:STD_LOGIC;
BEGIN
PROCESS (ACLK,CLK,Q1)
BEGIN
IF ACLK='1'
THEN Q1<='0';
ELSIF CLK'EVENT AND CLK='1'
THEN Q1<=D;
END IF;
END PROCESS;
Q<=Q1;
END FFQ;
第三题:同步清零触发器
LIBRARY IEEE;
VHDL各种D触发器程序
VHDL各种D触发器程序
VHDL各种D触发器程序
USE Dchu IS
VHDL各种D触发器程序
VHDL各种D触发器程序
VHDL各种D触发器程序
PORT (CLK,D:IN STD_LOGIC;
Q:OUT STD_LOGIC;
SCLK:IN STD_LOGIC);
END;
ARCHITECTURE FFQ OF Dchu IS
SIGNAL Q1:STD_LOGIC;
BEGIN
PROCESS (SCLK,CLK,Q1)
BEGIN
IF CLK'EVENT AND CLK='1' THEN
IF SCLK='1' THEN
Q1<='0';
ELSE Q1<=D;
END IF;
END IF;
END PROCESS;
Q