1 / 18
文档名称:

EDA课程设计论文--控制EDA课程设计.docx

格式:docx   大小:233KB   页数:18页
下载后只包含 1 个 DOCX 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

EDA课程设计论文--控制EDA课程设计.docx

上传人:小雄 2022/3/24 文件大小:233 KB

下载得到文件列表

EDA课程设计论文--控制EDA课程设计.docx

文档介绍

文档介绍:EDA课程设计论文
电气自动化 专业 08电气1 班级
设计(实训)题目
学生姓名孙友飞 学号 26
指导教师 鲍老师
2010年 9 月 3 日
目录
一课程设计目的 3
二 Protel 99se 综合设计 钮,再在弹出的窗口中选择电路原理图设计生成的网 络表文件(扩展名为Net),如果没有错误,单击Executeo若 出现错误提示,必须更改错误。
元器件布局
Protel 99 SE既可以进行自动布局也可以进行手工布局,执行 菜单命令 Tools/Auto Placement/Auto Placer 可以自动布局。
自动布线
执行菜单命令Auto Routing/All,并在弹出的窗口中单击 Route all按钮,程序即对印刷电路板进行自动布线。只要设置 有关参数,元件布局合理,自动布线的成功率几乎是100%o
手工调整自动布线 (印制电路板图见附录二)
三实践设1+
1内容:自动可乐售货机
用两个发光二极管分别模拟售出面值为3元和5元的可乐, 购买者可通过开关选择一种面值的可乐,灯亮时表示可乐售出。 用开关分别模拟1元,5元和10元人民币投入,用发光二极管 分别代表着回剩余的硬币。
要求:
每次只能售出1罐可乐,当所投硬币达到或超过购买者所选 面值时,售出1罐可乐,并找回剩余的人民币,回到初始状态; 当所投人民币不足面值时,可以通过一个复位键退回所投硬币, 回到初始状态。
MAX+PLUSii软件选择与论证
mux+plusll提供了强大的功能,能直观便捷和操作灵活的 原理图输入设计功能,同时还配备了适用于各种需要的元件库。 该软件能进行任意层次的数字系统设计,对系统中的任意层次, 或任意元件的功能进行精确的时序仿真;通过编译和编程下载, 能在
FPGA或CPLD±对设计项目随时进行硬件测试验证。。。。 而像EWB, PROTEL传统的数字电路实验利用手工连线完成元件连 接,容易对我们产生误会,以为只要将元件间的引脚用引线按电 路图连上即可,而不必顾及引线的长短,粗细,弯曲方式。
MAX+plusII软件的使用
1)文本编辑
进入编辑VHDL语言界面,屏幕上用鼠标点击File选项,此
时出现子菜单如图所示
由于是输入新文件,故点击子菜单中的NEW项,接着屏幕出现
NEW的对话框如图12-3所示
Nev
File Type
图形编辑
符号编辑
文本编辑
波形编辑
graphic Editor fild |.gdf~三|
厂 Symbol Editor file
C Text Editor file
l Waveform Editor file |.$cf ▼ |
旦 K | Cancel
12-3 图
选择第三项,,点击对话框的OK按钮,屏幕将会出现一个无名的编
辑窗口,如图12-4所示
在无名的文本编辑窗口下输完程序后点击File下的Save,在屏幕 上会弹出一个对话框,,如图所
在FileName中输入文件
名,文件名必须跟程序中的工程名一致,,存 放在E:下的**(班级及自己的名字必需用英文)文件夹下面。
文本编辑如下:
library ieee;
use ;
use ;
entity solda is
port (ml,m5,ml0:in std_logic; --模块 SOLDA
t3,t5:in std_logic;
reset:in std_logic;
elk: in std_logic;
s3,s5:out std_logic;
ch: out std_logic_vector(4 downto 0));
end solda;
architecture sold_arc of solda is
begin
process(clk,ml,m5,ml0,t3,t5,reset)
variable money: std_logic_vector(4 downto 0);
variable a:std_logic;
variable cnt:integer range 0 to 60;
begin
if clk'event and clk='l'then
if a='l'then
ifml='0'then
money:=money+1;
elsif m5='0'then
money:=money+5;
elsif ml0='0'then
money:=money+10;
elsif reset='O'then --取消