1 / 6
文档名称:

6.2_LPM计数器模块使用方法.doc

格式:doc   大小:167KB   页数:6页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

6.2_LPM计数器模块使用方法.doc

上传人:012luyin 2017/2/20 文件大小:167 KB

下载得到文件列表

6.2_LPM计数器模块使用方法.doc

文档介绍

文档介绍:-1- LPM 计数器模块使用方法和例化—— 10 电信本( 2)班石健康 100917009 实验名称: LPM 计数器模块的使用方法实验预期: 学****LPM 计数器 LPM_COUNTER 的调用方法、流程的测试,掌握 MegaWizard Plug_In Manager 管理器对同类宏模块的一般使用方法; 实验内容: 一、 LPM_COUNTER 计数器模块文本文件的调用 abceda 下建立子文件夹 LPM_MD ; 2. 选择菜单栏 Tools → MegaWizard Plug_In Manager 命令,打开对话框如图所示: 图表 1定制新的宏功能块 3. 选中 create a new custom megafunction variatin 单选按钮,即定制一个新的模块(如果要修改一个已编辑好的 LPM 模块,则选中 Edit an existing custom megafunction variation 单选按钮); 4. 单击 Next 按钮,在弹出的窗口中选择 Arithmetic 展开 LPM 算术模块选项。选择计数器 LPM-COUNTER , 再在右上选择 Cyclone Ⅲ器件系列和 VHDL 语言方式。最后输入此模块文件存放的路径和文件名: f:\abceda\t4b : -2- next 按钮,弹出对话框。选择 4位计数器,选择“Creat anupdown input …”使计数器有加减控制功能。-3- next 按钮,打开对话框,选择 Modulus …12,则表示模 12计数器, 从 0记到 11 (若选择 Plain binary 则表示是普通的二进制计数器)。然后选择时钟使能控制 Clock Enable 和进位输出 Carry-out ,如图: 7. 再单击 next 按钮,打开对话框,选择 4 位数据同步加载控制 Load 和异步清零控制 Clear ,如图: -4- next 按钮结束设置。二、例化 1)生成的 LPM 计数器模块 VHDL t4b 如下: LIBRARY ieee; USE ; LIBRARY lpm; USE ; t4b IS PORT ( aclr : IN STD_LOGIC ; clk_en : IN STD_LOGIC ; clock : IN STD_LOGIC ; data : IN STD_LOGIC_VECTOR (3 DOWNTO 0); sload : IN STD_LOGIC ; updown : IN STD_LOGIC ; cout : OUT STD_LOGIC ;q: OUT STD_LOGIC_VECTOR (3 DOWNTO 0) ); t4b; ARCHITECTURE SYN t4b IS SIGNAL sub_wire0 : STD_LOGIC ; SIGNAL sub_wire1 : STD_LOGIC_VECTOR (3 DOWNTO 0); COMPONENT lpm_counter GENERIC ( lpm_direction : STRING; lpm_modulus : NATURAL; lpm_port_u