1 / 5
文档名称:

组合逻辑电路实验与解答.docx

格式:docx   大小:52KB   页数:5页
下载后只包含 1 个 DOCX 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

组合逻辑电路实验与解答.docx

上传人:xiaobaizhua 2022/10/10 文件大小:52 KB

下载得到文件列表

组合逻辑电路实验与解答.docx

文档介绍

文档介绍:该【组合逻辑电路实验与解答 】是由【xiaobaizhua】上传分享,文档一共【5】页,该文档可以免费在线阅读,需要了解更多关于【组合逻辑电路实验与解答 】的内容,可以使用淘豆网的站内搜索功能,选择自己适合的文档,以下文字是截取该文章内的部分文字,如需要获得完整电子版,请下载此文档到您的设备,方便您编辑和打印。高等教育自学考试
实验报告
实验课程名称:
模拟、数字及电力电子技术
实验项目:组合逻辑电路
实验类别: 综合性口设计性口验证性
V
专业班级:
姓名:李奥华学号:
实验时间: 2012/3/23
实验地点: 微机实验室
指导教师: 成绩:
组合逻辑电
一、实验目的
掌握用与非门组成的简单电路,并测试其逻辑功能。
掌握用基本逻辑门设计组合电路的方法。
二、实验原理
数字电路按逻辑功能和电路结构的不同特点,可分为组合逻辑电路和时序逻辑电路两人类。组合逻辑电路是根据给定的逻辑问题,设计出能实现逻辑功能的电路。用小规模集成电路实现组合逻辑电路,要求是使用的芯片最少,连线最少。一般设计步骤如卞:
首先根据实际情况确定输入变量、输出变量的个数,列出逻辑真值表。
根据真值表,一般采用卡诺图进行化简,得出逻辑表达式。
如果已对器件类型有所规定或限制,则应将函数表达式变换成与器件类型相适应的形式。
根据化简或变换后的逻辑表达式,画出逻辑电路。
根据逻辑电路图,查找所用集成器件的管脚图,将管脚号标在电路图上,再接线验证。
三、实验仪器及器件
数字实验箱一台,集成芯片74LS00-块、74LS20三块,导线若干。
四、实验内容

用集成电路74LS00和74LS20(74LS20管脚见图1所示),按图2连接电路(自己设计接线脚标),A、E接输入逻辑,F接输出逻辑显示,检查无误,然后开启电源。
2D2CNC2B2A2Y
|14 13)12 11 10 9
L
1
&
&
1
IAIBNCJCID1YGND
图1 74LS20集成电路管脚图
按表1的要求进行测量,将输出端F的逻辑状态填入表内.
图2•电路接线图
由逻辑真值表,写出该电路的逻辑表达式
f= A•5+J•B
“三路表决器”
输入
输出
A
B
F
0
0
0
0
1
1
1
0
1
1
1
0
表1输出真值表
用74LS00和74LS20组成三路表决器,按图3连接电路(自己设计接线脚标),A,B,C接输入逻辑,F接输出逻辑显示,检查无误,然后开启电源。
图3电路接线图
输 入
输出
A
b
c
F
0
0
0
0
0
0
1
0
0
1
0
0
0
1
1
1
1
0
0
0
1
0
1
1
1
1
0
1
1
1
1
1
&
表2输出真值表
按表2的要求进行测量,将输出端F的逻辑状态填入表内。
3•设计一个“四路表决器”逻辑电路并测试
设计一个四变量的多路表决器。当输入变量A、E、C、D有三个或三个以上为1时,输出F为1;否则输出F为0。
(1)根据设计要求列出表3四人表决器真值表。
⑵用卡诺图化简逻辑函数,写出逻辑表达
A・B・C+A・B・D+A・C・D+B・C・D丄
用74LS20与非门实现“四人表决器”,画出实验电路,标出接线脚并测试,验证所列真值表。
表3四人表决器真值表
A
B
C
D
F
0
0
0
0
0
0
0
0
1
0
0
0
1
0
0
0
0
1
1
0
0
1
0
0
0
0
1
0
1
0
0
1
1
0
0
0
1
1
1
1
1
0
0
0
0
1
0
0
1
0
1
0
1
0
0
1
0
1
1
1
1
1
0
0
0
1
1
0
1
1
1
1
1
0
1
1
1
1
1
1


输出
&
A
&
4•设计型题目:
试用两片74LS00,设计一个输血——受血判别电路,当输血者和受血者的血型符合下列规则时,配型成功,受血者可接受输血者提供的血液。
(1) A型血可以输给A或AB型血的人;
(2) B型血可以输给B或AB型血的人;
(3) AB型血只可以输给AB型血的人;
(4) O型血可以输给A、B、AB、O型血的人。
提示:AB代表输血者血型;CD代表受血者血型。00为。型血,01为A型血;10为B型血,11为AB型血,F为1可相互输血,为0不可相互输血。先列出真值表画出卡诺图写出最简与非式,并画出逻辑电路图。
试用两片74LS00,设计一个输血一一受血判别电路,当输血者和受血者的血型符合下列规则时,配型成功,受血者可接受输血者提供的血液。
(1) A型血可以输给A或AB型血的人;
(2) B型血可以输给B或AB型血的人;
(3) AB型血只可以输给AB型血的人;
(4) O型血可以输给A、B、AB、0型血的人。
提示:AB代表输血者血型;CD代表受血者血型。00为。型血,01为A型血;10为B型血,11为AB型血,F为1可相互输血,为0不可相互输血。先列出真值表画出卡诺图写出最简与非式,并画出逻辑电路图。
用卡诺图化简逻辑函数,写出逻辑表达,Y=A^B+C^D+A^D+B^C
五、 实验总结
第一次做数电实验,总体感觉工作量很大。1前期用multisin仿真,关键是准确、简洁的写出输出的逻辑表达式,用最少的门电路实现功能,多做儿个典型例题后,其他题的化简就不用一步步从真值表入手,容易凭经验写出。2接线十分繁杂,可能连了好多,一个环节出了问题,便陷入困境,得重新來过,所以不要紧张,要小心确保导线与实验电路板接触良好,不要在一个插孔上连三个以上电线。
六、 思考题
为什么能够用与非门实现以上组合电路?
当需要的或非门没有时,可否用与非门代替?
学生签名:
年月日
七、 教师评语及成绩
教师签名: