1 / 11
文档名称:

数字钟实验报告.docx

格式:docx   大小:137KB   页数:11页
下载后只包含 1 个 DOCX 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

数字钟实验报告.docx

上传人:260933426 2017/9/4 文件大小:137 KB

下载得到文件列表

数字钟实验报告.docx

文档介绍

文档介绍:多功能数字钟的设计与实现
实验任务与要求

用FPGA器件和EDA技术实现多功能数字钟的设计

:
已知条件Quartus II软件、FPGA实验开发装置
:
、分、秒的时间;
;
、校分。
:
;

:


(几点响几声)
电路及其程序的设计
:



变量的说明:
clk_50M_in:系统时钟
ncR:清零键
EN:使能键
Adj_Min,Adj_Hour:调整时钟分、时键
Min_H,Min_L,Hour_L,Hour_H:分钟小时数值显示数码管
sec_LED:秒显示输出位
hand_cp:通过按键手动产生的脉冲信号
hand:手动调节模式的选择,hand=1,手动,hand=0,内部时钟控制
set_time:设置时间控制位
show_set_time:显示设置时间位
show:正常显示控制位
change:显示方式转换,change=1:显示12进制时钟,change=0:显示24进制
am :12进制转换中上下午标志,am=1,上午,am=0,下午
dian_1,dian_2,dian_3:定点报时显示位
any_1,any_2,any_3 :任意点,任意分的报时显示位
sev_lcd1,sev_lcd2:12进制的报时点显示设置位
rever:顺逆调选择,rever=1,递减调节(计数),rever=1,递增调节(计数)
核心代码:
1HZ分频
initial
begin
clk_1Hz <= 1'b0;
count <= 32'd0;
end
always@(posedge clk_50M_in)
begin

if(count==32'd12500000)
begin
count<=32'd0;
clk_1Hz <=~clk_1Hz;
end
else count<=count+1'b1;
end
后台计数控制:
assign CP = hand? hand_cp:clk_1Hz; //在手动(hand)模式与自动模式之间选择
assign CP_set = set_time? hand_cp:Vcc;

counter10 U1(Second[3:0],nCR,EN&&(hand == 1'b0),CP,Vcc); //EN&&(hand == 1'b0)修改时钟变化的控制权
counter6 U2(Second[7:4],nCR,(Second[3:0]==4'h9)&&(hand == 1'b0),CP,Vcc);

assign MinL_EN =Adj_Min ? Vdd && ~set_time:(Second==8'h59);
assign MinH_EN = (Adj_Min&&(Minute[3:0]==4'h9) && ~set_time)||(Minute[3:0]==4'h9)&&(Second==8'h59);

counter10 U3(Minute[3:0],nCR,MinL_EN ,CP,Rever && hand);
counter6 U4(Minute[7:4],nCR,MinH_EN ,CP, Rever && hand);

assign Hour_EN = Adj_Hour? Vdd&& ~set_time:((Minute==8'h59)&&(Second==8'h59));

counter24 U5(Hour[7:4],Hour[3:0],nCR,Hour_EN,CP,Rever && hand); //Rever实现正逆序计数选择
setClock S2(Hour_dian,Min_dian,Adj_Hour,Adj_Min,CP_set,set_time,nCR,Rever);
24与12进制显示的转换:
always@( change or Hour) //24进制与12进制的时钟转换
begin
if(Hour == 8'h00)
begin
Hour_12 <= 8'h12;
am <= 1'b1; //am =1表示上午,am =0 表示下午
end
else if(Hour <= 8'h11

最近更新

住宅宅基地购房合同 6页

保全申请书(30篇) 28页

蛇串疮、热疮PPT课件 34页

药品购销合同书 1页

英语阅读理解 6页

苏教版级语文上册《袋麦子》PPT课件 30页

在县委办公室2023年度工作总结会上的讲话 5页

2023年化工自动化控制仪表考试试题及答案(完整.. 15页

2023年电大学前儿童发展心理学形成性考核作业.. 15页

2023年高考语文作文模拟题及范文 10页

CT、普通X线医师上岗证考试大纲 13页

[上海]工程项目管理规划大纲(质量控制 进度控.. 17页

《彩云追月》教学设计-【名师经典教学设计课件.. 12页

一级建造师机电工程考试题库【3套练习题】模拟.. 29页

专升本英语作文真题范文(共5篇) 6页

九年级上册物理考点典型习题 18页

交通运输安全承诺书 12页

人教版培智生活语文二年级上册第4课好吃的水果.. 8页

企业战略管理概论 第一章 6页

八年级上册数学同步解析与测评答案人教版 73页

光伏项目勘察设计实施要点 9页

公司庆典活动策划方案(7篇) 21页

关于幼师新员工入职培训心得范文5篇学到文库 9页

写字楼大厦日常保洁服务合同9篇 48页

初中生物教研组工作计划 5页

北京市燃料电池汽车标准体系标准明细表 10页

哈师大附中2024届高三第三次模拟考试英语试卷.. 11页

医院培训课件:《压力性损伤的管理》 47页

小学民族团结评选实施方案 5页

商用飞机制造生产建设项目可行性研究报告 97页