1 / 437
文档名称:

精通spring - Mastering Spring.pdf

格式:pdf   大小:19,929KB   页数:437页
下载后只包含 1 个 PDF 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

精通spring - Mastering Spring.pdf

上传人:Q+1243595614 2017/10/8 文件大小:19.46 MB

下载得到文件列表

精通spring - Mastering Spring.pdf

文档介绍

文档介绍:实验二用七段LED显示8421BCD码的VHDL设计
实验地点:
任课教师:窦衡学生姓名:李志
学号: 实验报告(二)211大楼606&609 2402401024
E D A
一、预习内容
1、结合附录一了解EDA实验箱的原理;
2、七段LED显示原理;
3、怎样用VHDL实现8421BCD码在七段LED数码管上显示。
二、实验目的
1. 了解VHDL进行EDA设计的基本步骤;
2. 学会用MAX+PLUSⅡ进行时序仿真;
3. 了解EDA实验箱的基本功能;
三、实验器材
PC机一台、EDA教学实验系统一台、下载电缆一根(已接好)、导线若干
四、实验内容
1. 用VHDL设计具有清除端、使能端,计数范围为0~999的计数器设计。输出为8421BCD码;
2. 用VHDL设计七段LED译码显示电路;
3. MAX+PLUSⅡ进行时序仿真;
4. 下载该程序验证程序是否正确;
5. 请事先准备一个软盘或优盘,本实验程序需要保存,后面实验需要用到。
五、实验步骤
1、写出七段译码器和具有清除端、使能端,计数范围为0~999的计数器的VHDL源程序,编译通过;
2、进行波形仿真;
3、选定器件、映射管脚、编译、下载。
六、实验原理和设计
1、8421BCD编码
在数字系统中常用四位二进制代码来表示一位十进制数字0、1、2、﹒﹒﹒、9,称之为二-十进制代码,即BCD码。将十进制数编成BCD码的电路,称为称二-十进制(BCD)编码器。二-十进制编码的方案很多,若BCD编码器采用8421编码方案,称为8421BCD编码器。
2、七段译码器
1
下图为译码器逻辑图,请按图进行连线。其中A,B,C,D 接拨号开关,a,b,c,d,e,f,g 接数码显示接口,管脚映射均为I/O 口,映射后,通过拨号开关改变输入二进制码,则输出数码管上显示相应的数值。
3、实现框图
4、VHDL源程序:
--0-999BCD码计数器描述
2
LIBRARY IEEE;
use ;
use ;
Entity COUNT100 Is
Port (Clk,Rst,En,Load: in std_logic;
data1,data2,data3: in std_logic_vector(3 downto 0);
conl,conm,conh: buffer std_logic_vector(3 downto 0) );
End COUNT100;
Architecture COUNT of COUNT100 Is
Begin
process(Rst,Clk)
begin
if Rst = '1' then
conl<="0000";
conm<="0000";
conh<="0000";
elsif rising_edge(Clk) then
if En='1' then
conl<=conl;
conh<=conh;
conm<=conm;
elsif Load='1' then
conl<=data1;
conm<=data2;
conh<=data3;
elsif(conl="1001" and conm="1001" and conh="1001")then
conl<="0000";
conm<="0000";
conh<="0000";
elsif conl="1001" then
if conm="1001" then
conl<="0000";
conm<="0000";
conh<=conh+1;
else conm<=conm+1;
conl<="0000";
end if;
else conl<=conl+1; end if;
end if;
end process;
End COUNT;
3
--七段译码器描述
library ieee;
use ;
use ;
entity seven_code is
port(count:in std_logic_vector(3