1 / 7
文档名称:

eda实验—译码器设计.doc

格式:doc   大小:298KB   页数:7页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

eda实验—译码器设计.doc

上传人:phl0420371 2017/11/22 文件大小:298 KB

下载得到文件列表

eda实验—译码器设计.doc

文档介绍

文档介绍:电子信息工程学系实验报告
成绩:
课程名称:EDA技术与实验
指导教师(签名):
实验项目名称:三八译码器设计实验时间:2011-09-05
班级:通信091 姓名:Jxairy 学号:910705131

实验目的:
+plusⅡ.
+plusⅡ文本、原理图设计及其仿真.
实验环境:
Windows 7、max+plusⅡ10等.
实验原理:
(详见实验分析部分.)
实验内容:
+plusⅡ软件,设计三八译码器,掌握max+plusⅡ软件的文本设计流程.
+plusⅡ软件,设计三八译码器,掌握max+plusⅡ软件的原理图设计流程.
+plusⅡ软件的菜单界面和命令功能.
实验过程:
一、三八译码器文本设计:
(1)新建文本:选择菜单File下的New,,在框中选中“Text Editor file”,按“OK”按钮,即选中了文本编辑方式.
(2)另存为Verilog编辑文件,.
(3)在编辑窗口中输入程序.

新建文本


(4)设置当前文本:在MAX+PLUS II中,在编译一个项目前,:在 File menu 菜单中选择Project Name项,将出现Project Name 对话框:在 Files 框内,“OK”..
设置当前仿真的文本设计
(5)打开编译器窗口:在MAX—plusⅡpiler 项,.
编译器窗口
选择Start即可开始编译,MAX+PLUS II编译器将检查项目是否有错,并对项目进行逻辑综合,然后配置到一个 Altera 器件中,同时将产生报告文件、编程文件和用于时间仿真用的输出文件.
(6)建立波形编辑文件:选择菜单File下的New选项,在出现的New对话框中选择“Waveform Editor File”,单击OK后将出现波形编辑器子窗口.
(7)仿真节点插入:选择菜单Node下的Enter Nodes from SNF选项,“List”按钮,左边的列表框将立即列出所有可以选择的信号结点,然后按中间的
“=>”按钮,“OK”,选中的信号将出现在波形编辑器中.
仿真节点插入
(8)输入波形设置,保存波形文件,文本仿真:单击菜单File下的Save选项,在弹出的窗口中将波形文件存在以上的同一目录中,—plusⅡ菜单内选择Simulator选项,单击Start,接着打开Open SCF(),即完成文本的波形仿真.
Simulator仿真
二、三八译码器的原理图设计:
(1)分析三八译码器的功能表,可得工作原理图,,指定芯片即运行Assign下的Device.
三八译码器原理图
(2)