1 / 39
文档名称:

基于vhdl电子密码锁设计说明书.doc

格式:doc   大小:649KB   页数:39页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

基于vhdl电子密码锁设计说明书.doc

上传人:luyinyzha 2018/8/18 文件大小:649 KB

下载得到文件列表

基于vhdl电子密码锁设计说明书.doc

文档介绍

文档介绍:密码锁设计
密码锁设计顶层电路图
(2)顶层时序仿真
分频模块
(1)10分频程序
library ieee;
use ;
use ;
entity yourname_div10 is
port(clk:in std_logic;
co:out std_logic);
end ;
architecture behav of yourname_div10 is
signal count:std_logic_vector(3 downto 0);
begin
process(clk)
begin
if clk'event and clk='1'then
if count="1001"then
count<="0000";
co<='1';
else
count<=count+1;
co<='0';
end if;
end if;
end process;
end behav;
(2)5分频程序
library ieee;
use ;
use ;
entity yourname_div5 is
port(clk:in std_logic;
co:out std_logic);
end;
architecture behav of yourname_div5 is
signal count:std_logic_vector(2 downto 0);
begin
process(clk)
begin
if clk'event and clk='1'then
if count="100"then
count<="000";
co<='1';
else
count<=count+1;
co<='0';
end if;
end if;
end process;
end behav;
(3)10分频时序仿真波形
(4)5分频时序仿真波形
从以上波形仿真可以看出该板块10分频模块的输出是输入信号的10分频,同理5分频的输出是输入信号的5分频。
附录三:消抖模块
(1)消抖模块程序
library ieee;
use ;
use ;
entity yourname_xiaodou is
port(clk_1k:in std_logic;
keyin:in std_logic;
keyout:out std_logic);
end ;
architecture behav of yourname_xiaodou is
signal n:integer range 0 to 29;
begin
process(clk_1k)
begin
if keyin='1' then
n<=0;
keyout<='1';
elsif clk_1k'event and clk_1k='1' then
if n<29 then
n<=n+1;
keyout<='1';
else
n<=29;
keyout<='0';
end if;
end if;
end process;
end behav;
(2)仿真波形
附录四:输入模块
(1)输入模块程序

library ieee;
use ;
use ;
entity yourname_count10 is
port(clk:in std_logic;
bcd:buffer std_logic_vector(3 downto 0));
end ;
architecture behav of yourname_count10 is
begin
process(clk)
begin
if clk'event and clk='1' then
if bcd="1001"then
bcd<="0000";
else
bcd<=bcd+'1';
end if;
end if;
end process;
end behav;

library

最近更新

资料广告心理 22页

新人教版二年级语文下册期末考试卷(汇总) 5页

新人教版四年级语文下册期末测试卷(可打印) 6页

新版人教版二年级语文(下册)期末试卷含参考答.. 4页

新部编人教版一年级语文下册期末试卷(新版) 6页

新部编人教版四年级语文(下册)期末试题及答案.. 7页

新部编版三年级语文下册期末测试卷(带答案) 7页

新部编版四年级语文下册期中练习题 7页

最新人教版六年级语文(下册)期末试题及答案(必.. 7页

最新人教部编版三年级语文下册期末考试题(加答.. 7页

最新部编人教版一年级数学上册期中测试卷(精品.. 6页

最新部编人教版年级语文上册第次月考阶段检测.. 18页

最新部编版六年级语文下册期中考试卷(附参考答.. 7页

苏教版四年级语文下册期中考试卷及答案(真题).. 6页

部编人教版一年级语文下册期末试卷及答案【必.. 5页

房屋建筑和市政基础设施工程质量监督执法检查.. 24页

部编版二年级下册语文《期末》考试题及答案【.. 5页

护理行为规范质量 9页

高二语文教学工作总结(精选21篇) 43页

防寒防冻应急预案措施(精选5篇) 14页

路桥专业认知实习报告(通用5篇) 22页

蒲公英之旅作文8篇 5页

给跨栏运动员加油稿(通用21篇) 14页

精选友情作文汇编8篇 7页

祭拜祖先主持词(精选5篇) 11页

爸爸,请别溺爱我作文(通用35篇) 26页

温暖的陪伴作文(4篇) 3页

有关至爱亲情作文合集8篇 10页

月光下的村庄作文范文(通用39篇) 31页

新生军训心得体会锦集15篇 17页