1 / 50
文档名称:

FPGA5-设计验证.ppt

格式:ppt   大小:335KB   页数:50页
下载后只包含 1 个 PPT 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

FPGA5-设计验证.ppt

上传人:mh900965 2019/6/6 文件大小:335 KB

下载得到文件列表

FPGA5-设计验证.ppt

相关文档

文档介绍

文档介绍:FPGA设计基础设计验证给登这志琉洞反家统伎浅赤皿扯裂脚躲锐靴厂阜盂躲崩凭查窄芒烟驭清遇FPGA5-设计验证FPGA5-设计验证设计验证随着IC集成度的不断提高,系统的规模日益庞大且复杂。验证成为了整个ASIC/FPGA设计流程中的一个瓶颈问题。(目前一个SOC设计中,验证工程师的人数是设计工程师的2倍左右,验证工作占到整个设计的60~70%,而验证代码,则占到了全部代码70~80%左右)验证工作量远大于系统设计工作量。概每巩剿困国纸贤嫁像樟陷贡块盂妖觅矽掣熟耪鹿霖肪竭模安濒邱肠沃齐FPGA5-设计验证FPGA5-设计验证验证和测试是ASIC设计流程中两个不同的环节。验证的目的则是为了确认设计符合设计规范,目前验证一般通过仿真实现。在本课程中验证和仿真不加区分。测试的目的是为了确认生产后的设计产品是否合格、正确,一般由加工厂家或封装测试厂家完成。验证和测试(VerificationvsTest)适娇款媳起励着弦樱戒帜患戚劫瘸哥猜亢昭贰留带祈淆瘤涯钡霞丹捂貌泼FPGA5-设计验证FPGA5-设计验证验证过程是证明设计正确的过程,验证的目的是为了保证设计实现与设计规范是一致的,保证从设计规范开始,经过一系列变换后得到的网表与最初的规范是一致的,整个变换的过程是正确的。验证的概念刁洪覆障俯迅家薛孽窑壶淤迟赋刘苯胃皖宛傈蛛追疲荧观檬份驳总粟租簿FPGA5-设计验证FPGA5-设计验证从自然语言表述的系统规范变换成完整的、可验证和无二义性的系统规范。从系统规范变换成可实现的模块设计规范。从模块设计规范变换成RTL级代码描述。从RTL代码通过综合工具变换成门级网表。从门级网表通过后端布局布线工具变换成具有延时信息的网表。FPGA设计可能包含的几个变换:惨忙稀监麦澄哲宝泰诸镭传费穴俄椎歪罚蓬级检纸浦宦绍郁味犹滥一抖倪FPGA5-设计验证FPGA5-设计验证如设计规范是否正确,有无矛盾之处?设计人员是否正确理解了设计规范,模块设计是否正确地反映了其功能?模块之间的接口是否正确?包含有延时信息的网表的时序是否满足要求?验证过程需要解决的问题验证是一个多次重复的过程,是一个不断向期望结果靠近的过程。从规范到网表之间的变换包含了许多问题羽头粒孽襄内身袍肝乱元卵绣劝郑波唤龄靴再逮触毯沿逊册晓完慷龄缆甄FPGA5-设计验证FPGA5-设计验证传统的电子线路设计验证方法目前的电子线路设计验证方法逻辑设计、画电路图、搭建电路、测试验证随着HDL语言的广泛使用和EDA工具的快速发展。为了设计和验证更复杂的系统,硬件设计工程师在硬件设计中借助于软件工程的经验和研究方法形成了适合高层RTL级描述的硬件系统的设计和验证方法。验证方法蛇逸币敲丫哗郝嚏伊封绢甜签钓嗡墓肩祸债挣剿配蔗标饥赢膀钻眨产苦饶FPGA5-设计验证FPGA5-设计验证Top-Down/Bottom-Up验证方法Top-Down验证法系统级验证:根据系统规范对系统进行建模,并对建立的模型进行验证。功能验证:验证设计的RTL代码应符合系统规范。主要方法--功能仿真(形式化验证为辅)。门级网表验证:通过门级仿真或形式化工具检验RTL代码和综合后的网表是否相等。时序验证:验证综合和布线后含有延时信息的网表时序是否满足要求。对于同步设计一般通过静态时序分析工具完成验证。校涡岔绣阑决弧贼擦晴泼固瓮主猖倪寻增砸绦腐湾抹霹床豫瞅猩辖钨殷是FPGA5-设计验证FPGA5-设计验证Top-Down/Bottom-Up验证方法Bottom-Up验证法模块级验证----验证模块的各种工作情况,保证每个单元的设计质量。子系统验证、系统级验证----分层次组装模块进行子系统验证直至完成系统级验证。备注:系统级验证,目标是验证整个设计的功能。验证主要集中在设计和外环境之间能否协调工作。吴搏楚忠嫂湃妊诌闸恤程屈就帝潍士美朱吧勋改俐侥泄京曙茶季穿哇伊鸵FPGA5-设计验证FPGA5-设计验证目前主流的两类“验证技术”:验证技术基于形式化的验证-----通过数学的方法,证明设计的功能是否与规范一致。基于TestBench的验证(目前主要的验证方式)----Testbench“利用HDL语言编写的用于验证设计的输入激励序列代码,也就是验证程序”,它实际上就相当于一个测试平台。链约漂市垛输藏升鼠横令烘稗索硕贵婶片乱坛帘誓迟阂灵铸肠弛植忽缅样FPGA5-设计验证FPGA5-设计验证

最近更新

2024年钢轨项目资金需求报告代可行性研究报告.. 64页

2024年放射免疫制剂项目资金筹措计划书代可行.. 70页

2024年防粘剂项目投资申请报告代可行性研究报.. 67页

2024年翻斗车项目资金需求报告代可行性研究报.. 75页

2024年抖音直播项目投资申请报告代可行性研究.. 64页

《东汉的兴亡》教案 6页

2024年电子工业用助剂项目资金需求报告代可行.. 56页

《UNIXLINUX操作系统》课程考试试卷A 6页

2024年电池化学品项目资金需求报告代可行性研.. 59页

医疗行业门诊排队管理整改报告 3页

2024年地震预警系统项目资金筹措计划书代可行.. 59页

省级国际医疗门诊(特需门诊)暂行实施方案 3页

风电场12#箱变短路起火反事故演习 6页

《探究材料的性能与应用作业设计方案》 6页

《导尿术导学案-兽医基础》 2页

《专题研究 做一名小小生态学家》导学案-2023.. 4页

《社区康复学》 10页

2024年伺服机构、舵机项目资金需求报告代可行.. 63页

2024年传染病医院项目资金申请报告代可行性研.. 78页

2024年北京高考理科数学试题及答案 13页

食品包装材料的卫生标准(1) 29页

金融基础知识考试题库 488页

异常工况处理授权管理规定 4页

骨质疏松的个案 7页

化工合成甲基苯丙胺两种大路线方法简介新版资.. 12页

《电工技术之变压器》PPT课件 62页

太乙天尊轮回记宙仙佛慈训(有关天地大收元 50页

锻压机自动上料机械手执行系统设计(全套含CA.. 30页

《思路决定出路》——读书笔记-PPT课件(精) 9页