1 / 4
文档名称:

FPGA设计流程.doc

格式:doc   大小:22KB   页数:4页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

FPGA设计流程.doc

上传人:xinsheng2008 2019/6/27 文件大小:22 KB

下载得到文件列表

FPGA设计流程.doc

文档介绍

文档介绍:Ⅱ QuartusⅡ软件中可以用图形编辑器和文本编辑器来完成设计输入,。用File>,选择BlockDiagram/SchematicFile,单击OK按钮,打开图形编辑器。首先为新建立的文件命名,用File>,在保存类型中选择BlockDiagram/SchematicFile(*.bdf),在文件名中输入light,,选中Addfiletocurrentproject,单击保存(S)按钮,将文件在目录C:\de2\,。:导入逻辑门电路符号、导入输入/输出符号、用线连接节点、编译电路。(3)指定设计的时序约束。对熟悉SDC格式的用户,先编写好SDC格式的时序约束文件,双击任务窗格的ReadSDCFile读入时序约束文件。对不熟悉SDC格式的用户,TimeQuest提供了丰富的图形界面工具,帮助用户建立参考时钟、规定输入和输出约束以及时序例外约束等,这些工具在Constraints菜单下。(4)指定设计约束后,list更新时序网表,将设计约束加入到网表中以做验证,并取出网表中的无效路径和错误路径。list时,在控制台(Console)窗格下方会出现如下一行TCL命令:tcl>list;并有相应的提示,list这条TCL指令的。所有TimeQuest的操作都可以用TCL指令来完成,每一次操作所调用的指令都会在控制台窗格中出现,如果熟悉TCL指令,可直接在控制台窗格输入TCL指令完成各种操作。(5)生成时序报告。用任务窗格中的命令,可以生成各种时序报告,同时还可以自定义报告,所有的命令也都可以用TCL指令完成。在TimeQuest查看窗格中可以迅速查看时序分析的结果。TimeQuest时序分析仪快速提供交互式报告,使用户能够迅速收集所选时序通道的详细信息。查看松弛(Slack)报告后,用户可以使用TimeQuest时序分析仪得到特定通<aname=baidusnap0></a>路上</B>更详细的信息。(6)保存SDC文件。所有的约束设置都不会自动保存,因此在结束分析之前,使用任务窗格中的WriteSDCFiles把约束设置文件保存起来。 TimeQuest时序分析仪使用灵活、功能非常强大,这里只列出了使用的简单流程及主要特性,具体使用时请参照QuartusⅡ:VerificationChapter6的相关内容。 QuartusⅡ软件提供集成的时序逼近流程,通过控制设计的综合和布局布线来达到时序目标。使用时序逼近流程可以对复杂的设计进行更快的时序逼近,以减少优化迭代次数并自动平衡多个设计约束。时序逼近流程可以执行初始编译和查看设计结果,进一步高效优化设计。在综合之后以及在布局布线期间,可以使用时序逼***面布局图(TimingClosureFloorplan)分析设计并进行分配,使用时序优化顾问(