1 / 36
文档名称:

ModelSimSE简明操作指南.doc

格式:doc   大小:586KB   页数:36页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

ModelSimSE简明操作指南.doc

上传人:lily8501 2019/9/7 文件大小:586 KB

下载得到文件列表

ModelSimSE简明操作指南.doc

文档介绍

文档介绍:,该版本运行于UNIX和MicrosoftWindows95/98/Me/NT/2000的操作系统环境中。本指南覆盖了VHDL和Verilog模拟仿真,但是你在学****过程中会发现对于单纯的HDL设计工作而言,它是一个很有用的参考。ModelSim具备强大的模拟仿真功能,在设计、编译、仿真、测试、调试开发过程中,有一整套工具供你使用,而且操作起来极其灵活,可以通过菜单、快捷键和命令行的方式进行工作。ModelSim的窗口管理界面让用户使用起来很方面,它能很好的与操作系统环境协调工作。ModelSim的一个很显著的特点就是它具备命令行的操作方式,类似于一个shell有很多操作指令供你使用,给人的感觉就像是工作在Unix环境下,这种命令行操作方式是基于Tcl/Tk的,其功能相当强大,这需要在以后的实际应用中慢慢体会。ModelSim的功能侧重于编译、仿真,不能指定编译的器件,不具有编程下载能力。不象Synplify和MAX+PLUSII可以在编译前选择器件。而且ModelSim在时序仿真时无法编辑输入波形,不象MAX+PLUSII可以自行设置输入波形,仿真后自动产生输出波形,而是需要在源文件中就确定输入,如编写测试台程序来完成初始化、模块输入的工作,或者通过外部宏文件提供激励。这样才可以看到仿真模块的时序波形图。另外对于Synplify来说,也只具有编译能力,但是比MAX+PLUSII可编译的verilog的内容要多,所以常常可以现在Synplify下编译,生成编译文件再送到MAX+PLUSII中使用。ModelSim还具有分析代码的能力,可以看出不同的代码段消耗资源的情况,从而可以对代码进行改善,以提高其效率。第二章   ModelSim的主要结构ModelSim的主窗口(Mainwindow)包括菜单栏、工具栏、工作区和命令行操作区。在工作区可以显示ProjectTab、LibraryTab、SimTab(显示LoadDesign、HierarchicalStructure);在命令行操作区,可以用命令提示符的方式进行编译、仿真设计,同时打开其他窗口。在菜单栏View下可以打开,sourcewindow、listwindow、wavewindow、structurewindow、signalwindow、dataflowwindow、processwindow、viarableswindow等窗口,用来测试仿真、调试仿真。由此也可以看出该软件具有强大的仿真设计能力,它提供的工具很多。在帮助菜单里有SE的帮助文件和Tcl的帮助文件,是学用ModelSim很好的帮手。第三章   ModelSim的简要使用方法在这一章里通过一些课程来简单介绍ModelSim的使用方法,更多的需要在实际应用中熟练和掌握。第一课  CreateaProject1.   etoModelSim对话框,选取CreateaProject,或者选取File\New\Project,然后会打开CreateProject对话框。2.   在CreateProject对话框中,填写test作为ProjectName;选取路径ProjectLocation作为Project文件的存储目录;保留DefaultLibraryName设置为work。3.   选取OK,会看到工作区出现ProjectandLibraryTab。4.   下一步是添加包含设计单元的文件,在工作区的Projectpage中,点击鼠标右键,选取AddFiletoProject。5.   在这次练****中我们加两个文件,点击AddFiletoProject对话框中的Browse按钮,打开ModelSim安装路径中的example目录,,再选取Referencefromcurrentlocation,然后点击OK。6.   在工作区的Projectpage中,单击右键,pileAll。7.   两个文件编译了,鼠标点击LibraryTab栏,将会看到两个编译了的设计单元列了出来。看不到就要把Library的工作域设为work。8.   最后一不是导入一个设计单元,双击LibraryTab中的counter,将会出现SimTab,其中显示了counter设计单元的结构。也可以Design\Loaddesign来导入设计。到这一步通常就开始运行仿真和分析,以及调试设计,不过这些工作在以后的课程中来完成。结束仿真选取Design\EndSimulation,结束Project选取File\Close\Project。