1 / 10
文档名称:

李国龙总线数据传输实验报告.docx

格式:docx   大小:3,079KB   页数:10页
下载后只包含 1 个 DOCX 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

李国龙总线数据传输实验报告.docx

上传人:ipod0c 2019/10/7 文件大小:3.01 MB

下载得到文件列表

李国龙总线数据传输实验报告.docx

文档介绍

文档介绍:李国龙-总线数据传输实验报告————————————————————————————————作者:————————————————————————————————日期: 总线数据传输实验报告计科1402班201408010211李国龙实验原理及实验目的实验目的:实现两个寄存器中的数值的互换。实验原理图:实验步骤建立工程文件,设计电路原理图如下:编译正确后建立波形文件,需要添加节点,并适当合并,设置参数:Endtime:2us,Gridsize:100ns。一步一步输入数据进行仿真,得出正确的仿真结果。CLK:时钟信号,K:输入,LDDR:三个寄存器的输入开关,SWR321_BUS:总线开关以及三个寄存器的输出开关,L,L~result:总线输出结果。首先输入数据78给R1寄存器,再输入数据54给R2寄存器,再利用中转站R3寄存器进行R1和R2寄存器中数据的交换,R1->R3,R2->R1,R3->R2,注意输入开关和输出开关以及总线开关的开和闭,结果均正确。选择菜单Assignments->PinPlanner进行管脚分配。选择菜单Processing->CompilerTool命令,单击Start执行全编译,。将文件下载到电路板上进行试验,结果正确。初始化:传数据给R1寄存器:传数据给R2寄存器:将R1寄存器中的数传给R3寄存器:将R2寄存器中的数传给R1寄存器:将R3寄存器中的数传给R2寄存器:互换后R1寄存器中的数:互换后R2寄存器中的数:可见,互换成功。实验日志和心得体会思考题:总线数据传输的基本特性是什么?答:总线的基本特性是不允许挂在总线上的多个部件同时向总线发出信息;但是,允许挂在总线上的多个部件同时从总线上接受信息。从74374和74244内部电路结构图上说明它们的逻辑功能。答:74244的输出输入间连接了三态传输门,当控制信号1GN有效时(0)输出即为高阻态,无效时输出的值即为输入值。从74374的内部电路图可知,其实就是再普通的数据寄存器的输出端加上了三态门控制。三态控制端有效时(0)输出端始终为高阻态;无效时逻辑功能同8位数据寄存器。实验电路中的BIDIR端口的用途是什