1 / 7
文档名称:

VHDL全加器的设计.doc

格式:doc   大小:23KB   页数:7页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

VHDL全加器的设计.doc

上传人:wxc6688 2019/11/23 文件大小:23 KB

下载得到文件列表

VHDL全加器的设计.doc

文档介绍

文档介绍:实验四全加器的设计一、实验目的通过VHDL语言设计4位全加器,掌握加法器的设计方法;学****利用软件工具的模块封装(1位全加器)及连接使用方法,在软件工具的原理图输入法下完成4位全加器的设计。二、实验原理根据数字电路全加器的理论知识,按图1所示的1位全加器的管脚图进行设计。图1 1位全加器管脚图三、实验内容用VHDL语言设计1位全加器,进行编译、波形仿真及器件编程。代码一见附录,仿真图如下图21位全加器功能仿真图使用原理图设计4位全加器进行编译、波形仿真及器件编程。原理图如下图34位全加器的管脚图仿真图如下图4  4位全加器功能仿真图用VHDL语言设计4位全加器,进行编译、波形仿真及器件编程,代码二见附录,仿真图如下图5 4位全加器功能仿真图附录代码一、libraryieee;;;entityswqjqisport(a,b:instd_logic;ci:instd_logic;co:outstd_logic;s:outstd_logic);endswqjq;architecturezhangofswqjqisbeginprocess(a,b,ci)beginif(a='0'andb='0'andci='0')thens<='0';co<='0';elsif(a='1'andb='0'andci='0')thens<='1';co<='0';elsif(a='0'andb='1'andci='0')thens<='1';co<='0';elsif(a='1'andb='1'andci='0')thens<='0';co<='1';elsif(a='0'andb='0'andci='1')thens<='1';co<='0';elsif(a='0'andb='1'andci='1')thens<='0';co<='1';elsif(a='1'andb='0'andci='1')thens<='0';co<='1';elses<='1';co<='1';endif;endprocess;endzhang;代码二、libraryieee;

最近更新

建筑预算员工作总结 27页

成都数学中考考点分析 9页

拟解决的关键技术 23页

文化洋葱理论对企业的启示 5页

新高考I卷:《历史》科目2021年考试真题与答案.. 15页

有关普法宣传教育心得5篇 9页

江西省2020年中考生物真题试题(含答案) 4页

深圳经济特区环境噪声污染防治条例(2020修正).. 21页

照片墙照片书创新创业计划书 6页

琼海幼儿教师考试模拟题及答案 13页

电工电子实习报告(集锦14篇) 78页

神奇的中成药,防风通圣丸的15种妙用 4页

签署合同模板(精选5篇) 13页

统编版高中历史必修中外历史纲要下第一单元测.. 7页

职中计算机专业实习报告 17页

药剂学复习题(选择题) 19页

计算机网络练习之使用WireShark捕获和分析数据.. 48页

轮滑延时服务进校园运动方案(推广) 6页

部编人教版七年级历史下册期中复习默写 4页

重庆2022年二级造价工程师《造价管理》真题答.. 4页

长输管线输油输气管道施工工程光缆施工技术方.. 12页

预算定额与概算定额的区别 4页

高中化学 醛和酮的性质教学设计学情分析教材分.. 8页

高考全国甲卷:《英语》科目2022年考试真题与.. 17页

幼儿园中班有创意的教学教案大全四十篇 86页

下半年的入学心得体会汇编(7篇) 65页

孩子幼儿园大班毕业老师寄语 93页

超声诊断学-08-1胃肠解剖和正常声像图 68页

健身房工作总结 3页

2024年乔迁宴主持开场白 15页