1 / 19
文档名称:

广工数电课程设计报告四人抢答器.doc

格式:doc   大小:2,990KB   页数:19页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

广工数电课程设计报告四人抢答器.doc

上传人:2982835315 2020/3/13 文件大小:2.92 MB

下载得到文件列表

广工数电课程设计报告四人抢答器.doc

相关文档

文档介绍

文档介绍:课程设计课程名称数字电子技术题目名称四人智力竞赛抢答器学生学院物理与光电工程学院专业班级14级电子4班学号学生姓名指导教师一、设计题目:四人智力竞赛抢答器二、:设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。:(1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。(2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。(3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。(4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。(5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。三、原理电路的设计:1.(1)电路系统结构图:扬声器数码显示脉冲电路倒计时器译码器数码显示扬声器锁存器编码转换电路译码器主持人选手方案的比较与确定及单元电路的设计:方案一:电路大致可以由四个功能模块组成:以锁存器为中心的编码显示电路部分,脉冲产生电路部分,倒计时显示电路部分,音响电路部分。在锁存器为中心的编码显示电路中,由锁存器74HC373,编码器74HC148,显示器和门电路组成。使用74HC373作为锁存电路,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,74HC373立即被锁存,同时蜂鸣器鸣叫1S,此后抢答无效,使用74HC148作为编码器,对输入的信号进行编码,输出4位BCD码,再将这4位的BCD码处理并输入到数码管里显示出抢答者的编号;在脉冲产生电路部分中,用555定时器予以实现,通过调节电阻的阻值得到符合要求的脉冲,因为可以通过改变电阻微调频率,取代了用分频器对高频信号进行分频,从而使电路更简单;在倒计时显示电路中,由计数器CD4510和显示器组成。利用CD4510计数器作为倒计时的芯片,当主持人按下抢答按钮时,CD4510被置9,开始倒计时,并通过CD4511和数码管显示此时的时间。假如在9秒内有人抢答,则计数器停止倒计时,锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒。在音响电路部分中,由555定时器和电阻电容接合成多谐振荡器,产生所需要的脉冲,然后接入蜂鸣器构成。方案二:电路大致可以由四个功能模块组成:以锁存器为中心的编码显示电路部分,脉冲产生电路部分,倒计时显示电路部分,音响电路部分。在编码锁存电路中,可以用七段数码管CD4511本身具有的锁存显示功能对输入的数据进行锁存。当CD4511的LE端被置于高电平时,禁止信号输入,并把译码显示锁存在LE端被置高电平前的状态。编码部分的电路,主要由一片8—3优先编码器CD4532构成,当有按键被按下,编码端输出一个BCD码并输入到CD4511进行译码,显示在数码管上,在这一瞬间,由74HC151构成的逻辑电路输出一个高电平,用以锁存数据和驱动蜂鸣器,并使计时停止。锁存后,不能再进行编码输入。计时电路由定时器555和十进制BCD码计数器CD4510构成,计时显示由一片CD4511和数码管组成。当计数到0时,产生一个借位信号,用这个借位信号控制555停止计时,同时驱动蜂鸣器,并对编码电路进行锁存。在音响电路部分中,由源蜂鸣器和两个反相器,RC网络构成音响电路,通过调节RC的大小来控制蜂鸣器响的时间。,电路图为Multisim仿真软件的原理图,包括所选芯片、电阻、二极管等元件的型号和参数。电路的电源为5V直流电压,电阻的参数计算以5V电压为标准,具体参数如下图所示:,BCD码,通过译码器译码显示在七段数码管上。为了电路设计方便,选用集成的编码芯片。可选74HC148、CD4532、CD40147等编码芯片,这里选用CD4532。CD4532可将输入I7~I0的信号编码为3位二进制码,即8421BCD码。8个输入端I7~I0具有指定优先权,I7为最高优先权,I0为最低。