1 / 80
文档名称:

第4章 VHDL语言基础.ppt

格式:ppt   大小:721KB   页数:80页
下载后只包含 1 个 PPT 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

第4章 VHDL语言基础.ppt

上传人:cby201601 2020/8/7 文件大小:721 KB

下载得到文件列表

第4章 VHDL语言基础.ppt

相关文档

文档介绍

文档介绍:FPGA-CPLD原理及应用第四章VHDL语言基础硬件特性工程(实体)外部(可视部分,也称端口)内部(不可视,也称内部功能和算法)库实体(Entity)结构体(Architecture)进程或其它并行结构配置(Configuration)VHDL结构程序包Library(程序库)Package(程序包)Entity(实体)Architecture(结构体)Configuration(配置)VHDL程序由5个部分组成一个实体可以拥有多个不同的结构体,而每个结构体在实体中的地位是相同的。可以利用配置语句为实体指定一个结构体。VHDL程序由5个部分组成库存放已编译过的实体、结构体、程序包和配置。程序包由一组对外可见的信号、常量、数据类型、子程序、属性等组成的程序集合。实体描述系统的外部信号接口。结构体描述系统的行为,系统数据流程,系统组织结构形式。配置--paratorLibraryIEEE;;p4isport(a,b:instd_logic_vector(3downto0);equal:outstd_logic);p4;p4isbeginequal<=‘1’whena=belse‘0’;Enddataflow;;结尾关键字begin关键字end后跟实体名关键字end后跟结构体名库一、实体(Entity)描述此设计功能输入输出端口(Port)在层次化设计时,Port为模块之间的接口在芯片级,则代表具体芯片的管脚A[3..0]B[3..0]p4isport(a,b:instd_logic_vector(3downto0);equal:outstd_logic);p4;Port(端口名称{,端口名称}:端口模式数据类型;…端口名称{,端口名称}:端口模式数据类型);端口声明确定输入、输出端口的数目和类型。输入(Input)输出(Output)双向(Inout):可代替所有其他模式,但降低了程序的可读性,一般用于与CPU的数据总线接口缓冲(Buffer):与Output类似,但允许该管脚名作为一些逻辑的输入信号端口模式符号图

最近更新

Unit 4 同步练习 2022-2023学年牛津译林版八年.. 6页

《spss统计软件》练习题库及答案 14页

《审计学》习题册参考答案(1-5章)-唐广 19页

《电子商务概论》2套模拟测试题自测卷带答案 24页

《雷雨》教案15篇 59页

【课程思政教学设计】《水产动物营养与饲料学.. 14页

七年级人教版历史知识点归纳 4页

上海航头学校初中英语七年级下册期末经典习题.. 7页

个人房屋租赁合同(6篇) 12页

中学课文《桃花源记》教案(优秀6篇) 8页

中药学专升本《中药鉴定学》试题-1 4页

五年级期末家长会发言稿 22页

人才培养方案范文锦集7篇 23页

人教版小学四年级上册第五单元主题语文整体教.. 4页

以数字化变革助推高质量发展——访中关村信息.. 4页

企业高处作业安全管理规范 15页

供水管道设计与工程施工组织设计方案 11页

党员领导干部学习《优化营商环境条例》研讨发.. 5页

公司转让协议书范文集合6篇 18页

关于动物的演讲稿9篇 14页

关于赔偿协议书范文十篇 12页

初中八年级下英语教师工作计划5篇 10页

初步设计与概算编制要求 9页

化工单元操作1智慧树知到答案章节测试2023年内.. 6页

医疗器械销售工作总结(9篇) 28页

卷2—2023年中考地理必刷好题(北京专用)(解析.. 16页

各类服装产品执行标准 13页

售后服务保证措施及方案(通用6篇) 27页

国土空间生态修复规划设计 9页

国开电大 会计信息系统 形考任务2答案 10页