1 / 6
文档名称:

EDA五人表决器技术报告.docx

格式:docx   页数:6页
下载后只包含 1 个 DOCX 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

EDA五人表决器技术报告.docx

上传人:s0012230 2016/4/22 文件大小:0 KB

下载得到文件列表

EDA五人表决器技术报告.docx

文档介绍

文档介绍:1 西安欧亚学院信息工程学院电子技能训练实训报告 2014--2015 学年第二学期专业班级: 统本通信 1301 班姓名学号: 侯伟 08 指导教师: 张秀芳实训成绩: 2 目录 1. 设计任务................................................................................................................. 3 2. 设计目的................................................................................................................. 3 3. 设计要求................................................................................................................. 3 4. 任务分析................................................................................................................. 3 5. 程序代码................................................................................................................. 4 6. 设计仿真................................................................................................................. 5 7. 实验总结................................................................................................................. 5 3 1. 设计任务设计一个 5人表决器,参加表决者 7人,同意为 1,不同意为 0,同意者过半则表决器通过,最右侧灯亮;表决不通过则最左侧指示灯亮。 2. 设计目的(1 )学****使用 EDA 开发工具 QUARTUS Ⅱ。( 2)学会使用 VHDL 语言设计五人多数表决器。( 3)熟悉五人多数表决器的原理。 3. 设计要求(1)五人多数表决逻辑:多数通过。(2)在主持人控制下, 10秒内表决有效。(3)采用数码管显示表决 10秒倒计时。(4)表决结束后用发光二极管结果形式:通过,不通过。(5)设主持人控制键、复位键。控制键:启动表决;复位键:系统复位。 4. 任务分析五人多数表决,只要在规定时间内,赞***数大于或等于四,则表决通过。因此,只需将每位表决人的结果相加,判断结果值。设五个开关作为表决器的五个输入变量,输入变量为逻辑“1”时,表示表决者“赞成”;输入变量为“0”时,表示表决者“不赞成”。输出逻辑“1”时,表辑“1”时,表示表决者“赞成”;输入变量为“0”时,表示表决者“不赞成