1 / 5
文档名称:

EDA五人表决器.doc

格式:doc   大小:60KB   页数:5页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

EDA五人表决器.doc

上传人:sxlw2017 2018/3/22 文件大小:60 KB

下载得到文件列表

EDA五人表决器.doc

文档介绍

文档介绍:实验七:五人表决器的设计实验
姓名: 学号:同组成员:
:
熟悉QII软件使用;练****数字电路的完整设计过程。
:
五人表决器,即五人参与表决,超过半数投赞成票(三人或三人以上按1),表决通过是;否则不通过。
:
简要记录实验步骤即可。
列出真值表
创建新设计项目
创建HDL源文件
仿真
管脚锁定
下载
电路功能验证
:
1. 写出设计过程(真值表、简化结果)
2. 实验结果(包括RTL电路图和波形仿真结果)
3. 程序代码
5人表决器真值表
A
B
C
D
E
Y
A
B
C
D
E
Y
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
0
1
0
1
0
0
0
1
0
0
0
0
1
0
0
1
0
0
1
0
0
0
0
0
1
1
0
1
0
0
1
1
1
0
0
1
0
0
0
1
0
1
0
0
0
0
0
1
0
1
0
1
0
1
0
1
1
0
0
1
1
0
0
1
0
1
1
0
1
0
0
1
1
1
1
1
0
1
1
1
1
0
1
0
0
0
0
1
1
0
0
0
0
0
1
0
0
1
0
1
1
0
0
1
1
0
1
0
1
0
0
1
1
0
1
0
1
0
1
0
1
1
1
1
1
0
1
1
1
0
1
1
0
0
0
1
1
1
0
0
1
0
1
1
0
1
1
1
1
1
0
1
1
0
1
1
1
0
1
1
1
1
1
0
1
0
1
1
1
1
1
1
1
1
1
1
1
library ieee;
use ;
use ;
use ;
entity biao is
port(a:in std_logic_vector(4 downto 0);
Q: out std_logic);
end biao;
architecture arch of biao is
begin
process(a)
begin
case a is
when "00000"=> Q <= '0';
when "00001"=> Q <= '0';
when "00010"=> Q <= '0';
when "00011"=> Q <= '0';
when "00100"=> Q <= '0';
when "00101"=> Q <= '0';
when "00110"=> Q <= '0';
when "00111"=> Q <= '1';
when "01000"=> Q <= '0';
when