1 / 16
文档名称:

《EDA技术及可编程器件应用实训》课程设计报告-基于CPLD技术的数字万年历设计.doc

格式:doc   大小:607KB   页数:16页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

《EDA技术及可编程器件应用实训》课程设计报告-基于CPLD技术的数字万年历设计.doc

上传人:rdwiirh 2021/1/17 文件大小:607 KB

下载得到文件列表

《EDA技术及可编程器件应用实训》课程设计报告-基于CPLD技术的数字万年历设计.doc

文档介绍

文档介绍:《EDA技术及可编程器件应用实训》课程设计报告
院系 机械电子工程系
姓名
专业班级 07通信技术一班
学号
指导老师
日期:2009年 6 月 10 日
《EDA技术及可编程器件应用实训》课程设计报告
一、设计内容:
课程设计题目:基于CPLD技术的数字万年历设计。课题设计的万年历具有年、月、日、时、分、秒计数功能,以秒、分、时、日、月、年进制循环计时;具有清零、调时功能、报时功能。
二、设计意义
通过理论学****和实践动手操作,可以掌握小型数字系统的设计方法。理论学****中了解到大规模可编程逻辑器件CPLD/FPGA的内部结构和外部特性;了解到EDA设计的方法;掌握硬件描述语言VHDL程序方法和思路;学会使用 Quartus II开发平台。
通过具体的数字百年历的项目设计,可深切地领会到EDA硬件设计软件化的特点;掌握六十进制、二十四进制、十二进制、及通过语言VHDL程序来控制三十、 三十一、 二十八、二十九进制的选择、五选一、二选一 ,从而实现计数器的设计方法;同时掌握CPLD技术的层次化结构化设计方法;并能熟练使用Quartus II开发小中型数字系统,解决调试过程中所遇到的一些问题。
三、设计方法
常见数字百年历具有计时和显示、报时功能。电路设计中包括分频模块,用于将外部晶振产生的一定周期的时钟信号计数产生1s时钟信号;60进制的秒、分计数模块;24进制的时计数模块;12进制的月计数模块;通过语言选择的闰年平年天数选择模块;5选一的调时控制模块;2选一模式转换模块;另有显示模块和整点报时信号端口。
1、 同步计时 六十进制加法器的程序如下。其中,cout为输出 端口信号,clk为分频模块产生的秒计时信号(时钟信号),q1和q2为秒计数中十进制数所对应的高位和低位信号。
library ieee;
use ;
use ;
entity cnt60 is
port(clk:in std_logic;
cout:out std_logic;
q1,q2:out std_logic_vector(3 downto 0));

architecture behav of cnt60 is
begin
variable cq1,cq2:std_logic_vector(3 downto 0);
begin
if clk'event and clk='1' then
cq1:=cq1+1;
if cq1>9 then cq1:="0000";
cq2:=cq2+1;
end if;
if cq2=6 and cq1=0 then
cq1:="0000";cq2:="0000";cout<='1';
else cout<='0';
end if;
q1<=cq1;q2<=cq2;
end process;
end; 所写程序经过仿真的如上图所示。同理分和秒一样都是六十进制只不过是把程序中q1,q2分别改为分的十位,个位而已,有程序生成六十进制模块图。如下
该程序在编写过程中应注意IF语句的结束标志END IF所对应的是那一部分.
2、 同步计时二十四进制加法器的程序如下。其中,cout为输出 端口信号,clk为分频模块产生的时计时信号(时钟信号),q1和q2为时计数中十进制数所对应的高位和低位信号。
library ieee;
use ;
use ;
entity cnt24 is
port(clk:in std_logic;
q1:out std_logic_vector(3 downto 0);
q2:out std_logic_vector(3 downto 0);
cout:out std_logic);
end cnt24
architecture behav of cnt24 is
begin
process(clk)
variable cq1:std_logic_vector(3 downto 0) ;
variable cq2:std_log

最近更新

对外汉语初级读写课中的写作教学设计的开题报.. 2页

绝经前后诸证辨证施护PPT课件 22页

对中国竹家具可持续设计问题的探析中期报告 2页

重症医学科应急预案(全) 24页

寅辰印染有限公司绩效管理研究的开题报告 2页

容量限制Power图的快速生成及应用的开题报告 2页

补肾活血法联合低分子肝素治疗先兆流产合并绒.. 5页

家居装饰水龙头的理性选择开题报告 2页

室内设计中的材料组合模式研究的开题报告 2页

2024年幼儿园园务工作总结合集15篇 59页

2024年幼儿园听课心得总结(通用12篇) 22页

初中部三年物理月考试题 4页

生物圈中的其他生物章节练习练习题(含答案详解.. 15页

精准时代结直肠癌肝转移的综合治疗ppt课件 58页

实习教师调控小学低年级学生课堂注意力的个案.. 2页

定量秸秆还田条件下不同施氮量在玉米上的效应.. 2页

宏观调控中的北京商品住宅市场利益主体博弈研.. 2页

2024年幼儿园卫生保健工作总结(集锦15篇) 60页

2024年幼儿园区域游戏活动总结通用7篇 19页

2024安徽省安庆市中考一模数学试题及参考答案.. 9页

大树的花衣裳中班美术教案 2页

电梯维保报价书 3页

棋牌室培训资料 20页

中考历史必考知识点 5页

六合公式基本知识(荐) 3页

少先队植树造林歌 19页

最全食物GI和GL 6页

基督徒得胜的秘诀 1页

土方路基压实度超百现象.pdf 2页