1 / 24
文档名称:

FPGA实验报告.docx

格式:docx   大小:451KB   页数:24页
下载后只包含 1 个 DOCX 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

FPGA实验报告.docx

上传人:916581885 2021/8/22 文件大小:451 KB

下载得到文件列表

FPGA实验报告.docx

文档介绍

文档介绍:FPGA实验报告
D
目录
一、实验目的
二、实验要求
三、实验内容
四、实验代码及实验结果
(1)4位二进制加法计数器
(2)半加器
(3)LED静态显示
(4)38译码器
(5)点阵扫描显示
(6)步进电机状态机
实验感想
学****并使用FPGA的心得与体会
电气技术实践总结
一、实验目的
1、熟悉使用可编程逻辑器件(Altera公司FPGA Cyclone系列EP1C6Q)。
2、熟悉使用硬件描述语言VHDL。
3、掌握FPGA集成环境(Altera公司FPGA QuartusII )开发流程。
4、熟悉使用核心目标系统板与接口电路等工作原理及其功能模块绑定信息。
5、熟悉并掌握下载线方式和下载文件的选择。
二、实验要求
1、学****并掌握文本、图形等输入和时序、功能仿真方法。
2、学****并熟悉门电路、组合电路、时序电路等单一模块功能。
3、学****并设计各种不同状态机逻辑功能。
4、学****并设计由单一模块→较多功能模块集成→系统集成方法。
5、学****并选择多种模式显示(发光二极管显示、米字型数码管显示、七段数码管→动态扫描或静态扫描显示、LED点阵显示各种字符和图形或静止或移动等方式、LCD液晶显示各种字符和图形或静止或移动等方式)。
6、根据自已的兴趣和愿望,可从以下给定的实验目录中选取或自已设定功能题目。
7、实验数目没有要求,关键是看质量,是否是自已编写、调试、实现。
三、实验内容
1、按指导书集成开发环境章节操作实现文本编程实例1和图形编程实例2全过程。
2、任选门电路、组合电路、时序电路实验各完成一个其逻辑功能,其实现方案自已规定。在进行FPGA目标器件输入和输出引脚绑定时,输入引脚绑定高/低电平、单脉冲、各种分频连续脉冲等多种信号,输出引脚可绑定发光二极管、七段数码管、LED点阵等显示模式。
3、在完成1位十进制计数器的基础上,可增加完成2或3等多位十进制计数器逻辑功能并用多位七段数码管来显示。
4、根据状态机工作特点,设计一个有一定功能效果的例程。
5、利用4X4键盘设计显示对应的键字符信息。
6、用LED点阵显示任意字符、图形信息。
四、实验代码及实验结果
(1)4位二进制加法计数器
【实验代码】
library ieee;
use ;
use ;
entity count4 is
port(clk,d:in std_logic;
rst:in std_logic;
q:out std_logic_vector(3 downto 0));
end entity count4;
architecture bhv of count4 is
signal q1:std_logic_vector(3 downto 0);
begin
process(rst,clk,d)
begin
if(rst='0')then
q1<="0000";
elsif(clk'event and clk = '1')then
q1<=q1+1;
end if;
end process;
q<=q1;
end architecture bhv;
【实验操作】
输入信号clk时钟→Pin152;rst清零→Pin1;d启动控制→Pin2;输出信号q3→Pin43;q2→Pin44;q1→Pin45;q0→Pi