1 / 4
文档名称:

实验六JK触发器VHDL设计.doc

格式:doc   大小:45KB   页数:4页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

实验六JK触发器VHDL设计.doc

上传人:annimy 2021/10/21 文件大小:45 KB

下载得到文件列表

实验六JK触发器VHDL设计.doc

文档介绍

文档介绍:实验六JK触发器VHDL设计
实验六JK触发器VHDL设计
实验六JK触发器VHDL设计
实验六 JK触发器的VHDL设计
设计JK触发器,其中prn(置1端)、clrn(清零端)均为高电平有效,当prn(置1端)、clrn(清零端)均为低电平时,cp上升沿来临输出q、q_not根据J、K的值有不同的输出。
library ieee;
use 。all;
use ieee。std_logic_arith。all;
use ieee.std_logic_unsigned.all;
entity chufa_JK is
  port
   (   
      cp,j,k,prn,clrn:in std_logic;
             q,q_not: out std_logic
     );
end chufa_JK;
architecture arch of chufa_JK is
       signal qn:std_logic;
    begin
process(cp,prn,clrn)
  begin
      if clrn='1'and prn=’0' then  -----—-———异步(强制)置0
       qn<=’0';
       elsif prn=’1'and clrn='0’then  -—--——---异步(强制)置1
ﻩﻩﻩ
qn<=’1';
 
ﻩﻩ elsif cp’event and cp='1' then         -—------—cp上升沿
qn〈=(j and not qn) or(not k and qn); ﻩﻩ
ﻩﻩ end if;
ﻩ end process;
实验六JK触发器VHDL设计
实验六JK触发器VHDL设计
实验六JK触发器VHDL设计
  q<=qn;
  q_not〈=not qn;
ﻩend arch;
1、波形仿真分析

prn(置1端)
clrn(清零端)
cp
J
K
Q
Q_not
0
1

x
x
0
1
1
0
x
x
x
1
0


上升沿
0
0
保持
保持
0

上升沿

1
0


0
上升沿
1

1

0
0
上升

最近更新

【汇总】2016年各省市新能源汽车及充电基础设.. 17页

人教版小学语文五年级上册五六单元测验试卷 7页

清洁护理口腔护理ppt 25页

南阳市人民政府办公室关于调整鸭河口电厂三期.. 4页

上呼吸道感染的合理用药案例 27页

山东省人民政府办公厅关于印发山东省住房和城.. 11页

内镜下阑尾炎手术患者的护理ppt 18页

新教材教科版一年级下册科学全册课时练(同步练.. 35页

正版高中化学选修3课后习题标准答案人教版 4页

肾上腺病变的CT诊断梁雯雯 48页

罗伊护理ppt 24页

电力工程建设预算费用构成及计算标准 42页

肇庆市人民政府关于印发肇庆市改善环境空气质.. 9页

贵州省实施《中华人民共和国土地管理法》办法.. 15页

重庆市武隆区人民政府办公室关于印发大溪河(.. 12页

人类遗传病适合理科生新人教 51页

口服药物流产的观察和护理ppt 21页

骨干教师培养计划在促进教育质量提升中的作用.. 28页

雨灾雪灾应急预案培训的灾区救助与安置 23页

雨灾雪灾应急预案培训指导手册 26页

异构设备跨平台通信机制 35页

护理学术年会论文汇报ppt 22页

车联网MNO智能物联卡平台解决方案对驾驶员安全.. 27页

护理文书护理质量反馈ppt 28页

融入实际工作场景的培训培养医学专业人员的适.. 26页

绿色可持续发展对市场调研和咨询的新机遇培训.. 27页

纺织行业创业计划书 31页

电商平台运营方案通过优惠券促销活动增加用户.. 27页

大班亲子游戏两则 12页

珠宝设计行业创业计划书 33页