1 / 5
文档名称:

四位全加器.doc

格式:doc   页数:5页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

四位全加器.doc

上传人:yzhluyin9 2016/7/13 文件大小:0 KB

下载得到文件列表

四位全加器.doc

文档介绍

文档介绍:1 设计二四位全加器的设计与实现 1、实验内容四位全加器的设计与实现。 2、实验目的与要求利用 MAX+plus II 实现四位全加器并且验证实验内容。 3、实验环境 MAX+plus II 4、设计思路分析(包括需求分析、整体设计思路、概要设计) 一个 4 位全加器可以由 4个1 位全加器构成,加法器间的进位可以串行方式实现, 即将低位加法器的进位输出 cout 与相邻的高位加法器的最低进位输入信号 cin 相接。半加器描述: 根据半加器真值表可以画出半加器的电路图。 a b so Co 0000 0110 1010 1101 5、详细设计 A) 半加器设计: 2 1 )新建一个设计文件,使用原理图设计方法设计。 2) 将所需元件全部调入原理图编辑窗, 所需元件依次为: input 2个; output 2 个; and2 1个;xnor 1个;not 1 个。 3 )依照下图连接好各元件 4 )保存为 h-adder 将当前设计文件设置成工程文件。 5 )编译 B) 一位全加器的实现: 1) 一位全加器可以由两个半加器和一个或门连接而成, 因而可以根据半加器的电路原理图或真值表写出 1 位全加器的 VHDL 描述。. 2) 依照以下原理图连接好全加器: 其中有两个原件(h-adder) 为刚刚设计好的半加器. 其他原件为:input 3个, output 2个, or2 1个. 3) 保存为 f-adder 设置成工程文件并选择目标器件为 4) 编译 3 C) 四位全加器的实现 1)4 位全加器可以看做四个 1 位全加器级联而成,首先采用基本逻辑门设计一位全加器,而后通过多个 1 位全加器级联实现 4 位全加器。 2) 依照以下原理图连接好全加器。、其中有四个元件为一位全加器( f-adder ), 其余为九个