1 / 13
文档名称:

VHDL密码锁设计.docx

格式:docx   大小:32KB   页数:13页
下载后只包含 1 个 DOCX 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

VHDL密码锁设计.docx

上传人:fangjinyan2017001 2022/3/14 文件大小:32 KB

下载得到文件列表

VHDL密码锁设计.docx

文档介绍

文档介绍:VHDLf言及应用课程设计论文
一VHDL平台下
密码锁的设计
学院:电子信息学院
专业:_
学号:_
姓名:_
指导老师:_
团队成员:
完成日期:
目录
.引言1
.实验目的1
.实验任务与要求1
.设计原理变化受控于SETUPS,重新进入安锁状态。
(4)LED显示:用于设定密码或输入密码的显示。此项设计的目的是为了在下载演示时,能清楚地看到设置和输入的密码值。

调用各模块形成元件例化语句:
LIBRARYIEEE;
;
ENTITYtopfileIS
PORT(SETUP,RESET,START,OPN,CLK:INSTD_LOGIC;
setpassword:INSTD_LOGIC_VECTOR(7DOWNTO0);
inputpassword:INSTD_LOGIC_VECTOR(7DOWNTO0);
led_A,led_B,warner:OUTSTD_LOGIC;
DOUT11,DOUT12,DOUT21,DOUT22:OUTSTD_LOGIC_VECTOR(6DOWNTO0));
ENDENTITYtopfile;
ARCHITECTUREbrfOFtopfileIS
COMPONENTlockcontrol
PORT(RESET,FEEDBACK:INSTD_LOGIC;
Y:OUTSTD_LOGIC);
ENDCOMPONENT;
COMPONENTcodeset
PORT(ENABLE:INSTD_LOGIC;
PASEWORD:INSTD_LOGIC_VECTOR(7DOWNTO0);
Q:OUTSTD_LOGIC_VECTOR(7DOWNTO0));
ENDCOMPONENT;
COMPONENTcodeinput
PORT(ENABLE:INSTD_LOGIC;
PASEWORD:INSTD_LOGIC_VECTOR(7DOWNTO0);
Q:OUTSTD_LOGIC_VECTOR(7DOWNTO0));
ENDCOMPONENT;
COMPONENTcomparator
PORT(OPN,CLK,RESET:INSTD_LOGIC;
rightpassword,password:INSTD_LOGIC_VECTOR(7DOWNTO0);
A,B,warner:OUTSTD_LOGIC;
D11,D12,D21,D22:OUTSTD_LOGIC_VECTOR(3DOWNTO0));
ENDCOMPONENT;
COMPONENTAND2
PORT(a,b:INSTD_LOGIC;
c:OUTSTD_LOGIC);
ENDCOMPONENT;
COMPONENTNOR2
PORT(e,d:INSTD_LOGIC;
f:OUTSTD_LOGIC);
ENDCOMPONENT;
COMPONENTLED
PORT(DATA:INSTD_LOGIC_VECTOR(3DOWNTO0);
DOUT7:OUTSTD_LOGIC_VECTOR(6DOWNTO0));
ENDCOMPONENT;
SIGNALnet1,net2:STD_LOGIC_VECTOR(7DOWNTO0);
SIGNALnet8,net9,net10,net11:STD_LOGIC_VECTOR(3DOWNTO0);
SIGNALnet3,net4,net5,net6,net7:STD_LOGIC;
BEGIN
U0:lockcontrolPORTMAP(RESET=>RESET,feedback=>net3,y=>net4);
U1:AND2PORTMAP(a=>net3,b=>START,c=>net5);
U2:AND2PORTMAP(a=>SETUP,b=>net4,c=>net6);
U3:CODEINPUTPORTMAP(PASEWORD=>inputpassword,ENABLE=>net5,Q=>net1);
U4:codesetPORTMAP(PASEWORD=>setpassword,ENABLE=>net6,Q=>net2);
U5:comparatorPORT
MAP(rightpassword=>net2,password=>net1,OPN=>OPN,CLK=>CLK,A=>led_A,B=>net7,warner=>warner,RESET=>RESET,D12=>net9,D11=>net8,D21=>net10,D22=>net11);
U6:NOR2PORTMAP(e=>net7,d=>n