1 / 6
文档名称:

实验报告2-触发器.doc

格式:doc   大小:89KB   页数:6页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

实验报告2-触发器.doc

上传人:wdggjhm62 2022/6/9 文件大小:89 KB

下载得到文件列表

实验报告2-触发器.doc

相关文档

文档介绍

文档介绍:.
.
- .
. .
.
- .
. -可修遍-
实 验 __二__
成 绩
【实验名称】
触发器
【目的与要求】
掌握根本的RS触发器的工作原理
学会触发器功能的验证
了解触发器的一些应用
【实验容】
用与非门构成一个根本的RS触发器
验证D触发器和JK触发器的逻辑功能
用D触发器组成一个4位的存放器和一个4位的移位存放器
【操作步骤】
用与非门构成一个根本的RS触发器
画出用与非门构成的根本的RS触发器的电路图
画出RS触发器的特性表〔真值表〕
1
0
0
0
1
1
1
1
不变
0
0
不定
用QuartusII进展功能仿真,检验逻辑电路是否正确,将仿真波形截图并粘贴于此
验证D触发器和JK触发器的逻辑功能
在QuartusII中选取D触发器〔在stroage中选dff〕,添加上各个引脚,并对各个引脚命名,保存,编译,编辑各个引脚的波形,然后进展功能仿真,将仿真波形截图并粘贴于此。
.
.
- .
. -可修遍-
根据仿真波形得到D触发器的特性表,并和
ppt上的特性表进展比拟,确定仿真是否正确。
R
S
CP
D
Q
1
1
0
1
0
0
1
1
1
0
1
1
1
1
1
1
1
0
0
1
1
0
1
0
1
1
1
0
1
1
0
1
0
1
0
0
1
1
0
0
1
0
0
0
1
当R≠1或S≠1时
If(R=0 S=1) Q=0;
If(R=1 S=0) Q=1;
当R=1 且S=1 时
CP上升时:D=1 Q=1;D=0 Q=0;
重复步骤〔1〕和〔2〕,验证JK触发器,选jkff。
R=1且S=1时
当CP=1时
J=0 K=0时 Q不变
J=0 K=1时 Q=0
J=1 K=0 时 Q=1
J=1 K=1 时 Q反转〔Q=1那么Q=0 ;Q=0那么Q=1〕
R=0 S=1时
Q=0
R=1 S=0时
.
.
-