1 / 4
文档名称:

【精品】专业论文文献 -探析EDA技术在数字电子技术实验中的应用.doc

格式:doc   页数:4页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

【精品】专业论文文献 -探析EDA技术在数字电子技术实验中的应用.doc

上传人:薄荷牛奶 2014/12/11 文件大小:0 KB

下载得到文件列表

【精品】专业论文文献 -探析EDA技术在数字电子技术实验中的应用.doc

文档介绍

文档介绍:探析EDA技术在数字电子技术实验中的应用
探析EDA技术在数字电子技术实验中的应用
摘要:本文从EDA技术应用在数字电子技术实验教学的优势出发,对其技术与方法进行了分析,介绍了应用EDA技术的实验步骤,探讨了EDA技术在数字电子技术实验中的应用,具体说明如下。
关键词:电子设计自动化技术数字电子技术试验应用
随着时代与科技的不断发展,新形势下各大高校数字电子技术实验教学也随之发生改变,数字电子技术属于一门实用性强的综合型学科,只有学好数字电子技术才能为接下来电子信息后续课程打好基础。电子设计自动化设计是计算机结合集成电路下衍生的产物,现今可编程逻辑器应用范围越来越广,运用计算机编程技术可对电子设计产品进行更大程度上的优化和控制,可编程逻辑器为电子电路实验提供了新的设计方法,提高了设计电子产品硬件的便捷性,使原有的系统设计方式、核心技术得到转变,促进了电子设计自动化的发展,使其具备更加广阔的前景。
一、EDA技术在数字电子技术实验教学的优势
现阶段大部分高等院校数字电子技术实验通过使用多种实验箱,让学生自行连接电路,运用仪器对连接的电路做出检验,对其验证结果进行总结和分析。此种集成芯片设计电路连接的过程中存在较多的问题,例如电路复杂、芯片短缺、查找故障难度高、仪器及其附属设施易破坏、缺乏实验设备等,致使连接电路难度较高,学生对数字电子技术实验兴趣不高,实验效果不够理想。随着时代的发展,传统的数字电子技术实验教学也应作出与时俱进的改变,为了加大学生的学习兴趣,提高连接电路的成功率,在以往的数字电子技术实验中引进电子设计自动化技术,改变原有电路设计方法,使EDA技术下的电路设计变得更加可靠而有效。以往的数字电路设计方法只能设计出完整电路的一部分,在实际连接数字电路时会因为零件不足、性能与电路设计性能不相符等问题,致使需要重复实验,再次设计完整的数字电路并操作、验证等,此种方法过于费时,对学生而言学习兴趣不高。使EDA技术下的电路设计方法是分阶段进行,首先将整体的电路划分为多个模块,然后再设计各模块,此种方法适用性强、干扰性小,从而能够进一步保证电路连接的准确性、可靠性,EDA技术有利于推动电子产品的发展。
二、EDA技术设计的步骤
EDA技术设计数字电路首先要对系统进行全面的分析,将完整的系统分为多个独立存在的模块,然后逐一设计各个模块,对应不同模块采用不同的输入方式,在系统中就可对设计模块进行仿真模拟,验证其电路连接的正确性,待验证合格后,将设计电路图下载至存储介质。
综合是指运用电子设计自动化系统中的综合器将VHDL软件设计与硬件联系在一起,形成可行的硬件电路。综合器具备源文件整合功能,可保证综合硬件的可操作性,电子设计自动化具有逻辑综合功能,并能对设计出的数字电路进行优化,可将逻辑级电路图转变为门级电路,自动生成分析文件、网表文件及其附属报告。
综合完成之后还需运用相关适配器将网表文件对目标元件作逻辑映射,此种操作方式叫做布线布局,也叫做适配,这个过程涉及到逻辑分割、布局布线、底层器件配置、逻辑优化等内容的实施,当适配通过后系统就会自动生成时序仿真网表文件、时序仿真下载文件,大部分文件格式为JEDEC、Jam,适配对象与相关元件结构细节形成直接的对应关系。
再通过电子设计自动化系统对适配生成结果测试完成后,才