1 / 72
文档名称:

毕业论文 基于verilog语言的8位数字密码锁设计说明书.doc

格式:doc   大小:389KB   页数:72页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

毕业论文 基于verilog语言的8位数字密码锁设计说明书.doc

上传人:bai1968104 2018/8/8 文件大小:389 KB

下载得到文件列表

毕业论文 基于verilog语言的8位数字密码锁设计说明书.doc

文档介绍

文档介绍:本科学生学年论文
题目:
8位数字密码锁设计
学院:
电子工程学院
年级:
2011级
专业:
电子科学与技术(光电子)
姓名:
李思远
学号:
指导教师:
林连东
2011 年 5 月 28 日
摘要
本文简述了VHDL语言的功能及其特点,并以8位串行数字锁设计为例,介绍了在QUARTUS II ,利用VHDL硬件描述语言设计数字逻辑电路的过程和方法。
关键词:VHDL语言数字锁 QUARTUS II 硬件描述语言数字逻辑电路
Abstract
This paper describes the function and characteristics of VHDL language, and eight serial number lock design as an example, this paper introduces QUARTUS II software development in of VHDL hardware design of digital logic circuit process and method.
Keywords: VHDL language QUARTUS II hardware description language, digital logic circuit

目录
摘要 2
Abstract 2
第一章前言 4
密码锁系统的设计 4
设计要求 4
设计分析 5
软件设计 6
软件仿真及验证 10
总结 12
参考文献 13
致谢 14
第一章前言
电子密码锁系统主要由电子锁体、电子密匙等部分组成,一把电子密匙里能存放多组***密码,用户在使用过程中能够随时修改***密码,更新或配制钥匙里***密码。一把电子锁可配制多把钥匙。语音方面的广泛应用,使得具有语音播放的电子密码锁使用起来更加方便。语音密码锁的体积小、保密性能好、使用方便,是用在保险箱、电话或是房门上不可少的部分。
数字集成技术和电子设计自动化(E1ectronic Design Automation,EDA)技术的发展迅速,数字系统设计的理论和方法也在相应地变化和发展着。应用可编程逻辑器件(Programmable Logic Device,PLD)实现数字系统的设计,是目前利用EDA技术设计数字系统的潮流。利用基于EDA技术的设计方法,设计者只需对系统功能进行描述,就可在EDA工具的帮助下完成系统设计。这种设计方法以数字系统设计软件为工具,将测试码或测试序列测试验证后,将系统实现在PLD芯片或专业集成电路上,这样最大程度地缩短了设计和开发时间,降低了成本,提高了系统的可靠性。本文详细介绍了基于EDA技术数字密码锁的设计过程。
第二章密码锁系统的设计
:
数字密码锁的密码为8位十进制数字,密码可以设置和修改;***时间定为30秒;可用七段显示器显示***倒计时时间值;***计时时间30秒到,锁没开则用蜂鸣器报警,***时允许修改输入错误的密码数字。具体要求如下:
分析功能要求,设计系统构成模块,画出方框图。
编写模块的Verilog HDL语言的设计程序
在Quartus II 软件或其他EDA软件上完成设计和仿真
根据实验装置上的CPLD/FPGA芯片,在是配时选择相应的芯片,将设计生成配置文件或JEDEC文件,然后将配置文件或JEDEC文件下载到实验装置上运行,操作实验装置上设定设定的功能开关,验证设计功能。

此系统可以分为密码输入删除控制模块、寄存模块、比较并延时模块、扫描显示模块几部分。数字密码锁系统框图如图所示。
密码输入与删除控制模块设计考虑:
编码器:对数据开关K1...K10的电平信号,分别代表数字1...9,采用热码方式编码。
设置与删除密码操作及显示按设计要求处理。
信号设置
Set密码确认信号——当8位密码输入完成,按set键则密
送锁存器锁存,比较模块得数据A、密码显示电路清零。
Back:数字删除按键——每按一次删除最后输入的数字。
Lock:密码锁状态显示信号——lock=0(LED灯灭)表示锁未开
lock=1(LED灯亮)表示锁已开。
Close:关锁信号——当密码送寄存器锁存后,按下close
则密码锁lock=0,锁被锁上。
Check:密码检验信号——在lock=0状态下,从数据开关输
8位***密码后按下check,则***密码送寄存模块锁存为B。如果A=B,则D 触发器置“1”,锁被打开,否则lock保持为0.
万能密码:可以预设一个8位十进制数,如:“”。
数字密码锁系统框图: