1 / 3
文档名称:

实验五4位加法器的设计与实现.doc

格式:doc   大小:55KB   页数:3页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

实验五4位加法器的设计与实现.doc

上传人:bb21547 2020/9/25 文件大小:55 KB

下载得到文件列表

实验五4位加法器的设计与实现.doc

文档介绍

文档介绍:;。。。。注意:软件设计时选择的器件型号是与实际下载板上的器件型号相同。-1所示为4位加法器框图,本实验中用Verilog语句来描述。图5-14位加法器框图新建工程双击桌面上“”图标,启动ISE软件(也可从开始菜单启动)。每次打开ISE都会默认恢复到最近使用过的工程界面。当第一次使用时,由于还没有历史工程记录,所以工程管理区显示空白。选择FileNew--Project选项,在弹出的对话框中输入工程名称并指定工程路径。点击Next按钮进入下一页,选择所使用的芯片及综合、仿真工具。计算机上安装的所有用于仿真和综合的第三方EDA工具都可以在下拉菜单中找到。在图中我们选用了Spartan6XC6SLX16芯片,采用CSG324封装,这是NEXYS3开发板所用的芯片。另外,我们选择Verilog作为默认的硬件描述语言。再点击Next按钮进入下一页,这里显示了新建工程的信息,确认无误后,点击Finish就可以建立一个完整的工程了。设计输入和代码仿真在工程管理区任意位置单击鼠标右键,在弹出的菜单中选择NewSource命令,选择VerilogModule输入,并输入Verilog文件名。单击Next按钮进入端口定义对话框。其中ModuleName栏用于输入模块名,这里是adder4a,下面的列表框用于端口的定义。PortName表示端口名称,Direction表示端口方向(可选择为input、output或inout),MSB表示信号最高位,LSB表示信号最低位,对于单信号的MSB和LSB不用填写。当然,端口定义这一步我们也可以略过,在源程序中再行添加。定义了模块的端口后,单击Next进入下一步,点击Finish完成创建。这样,ISE就会自动创建一个Verilog模块的模板,并且在源代码编辑区打开。简单的注释、模块和端口定义已经自动生成,接下来的工作就是将代码编写完整。输入代码后,我们还需要对模块进行测试。在工程管理区将view设置为Simulation,在任意位置单击鼠标右键,并在弹出的菜单中选择NewSource,在类型中选择VerilogTestFixture,输入测试文件名,单击下一步。这时所有工程中的模块名都会显示出来,我们选择要进行测试的模块。点击Next,再单击Finish按钮,ISE会在源代码编辑区自动生成测试模块的代码。我们看到,ISE已经自动生成了基本的信号并对被测模块做了例化。我们的工作就是在initial…end块中的“//Addstimulushere”后面添加测试向量。完成测试文件编辑后,确认工程管理区中view选项设置为Simulation,这时在过程管理区会显示与仿真有关的进程。右键单击其中的SimulateBehavioralModel项,选择弹出菜单中的ProcessProperties项,会弹出属性设置对话框,其中SimulationRunTime就是仿真时间的设置,可将其修改为任意