1 / 14
文档名称:

VHDL各种D触发器程序.docx

格式:docx   大小:78KB   页数:14页
下载后只包含 1 个 DOCX 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

VHDL各种D触发器程序.docx

上传人:dlmus1 2020/9/28 文件大小:78 KB

下载得到文件列表

VHDL各种D触发器程序.docx

文档介绍

文档介绍:第一题:普通触发器LIBRARYIEEE;;ENTITYDchuISPORT(CLK,D:INSTD_LOGIC;Q:OUTSTD_LOGIC);END;ARCHITECTUREFFQOFDchuISSIGNALQ1:STD_LOGIC;BEGINPROCESS(CLK,Q1)BEGINIFCLK'EVENTANDCLK='1'THENQ1<=D;ENDIF;ENDPROCESS;Q<=Q1;ENDFFQ;Q1~PRED Q—*>ENACLR第二题:异步清零触发器LIBRARYIEEE;;ENTITYDchuISPORT(CLK,D:INSTD_LOGIC;Q:OUTSTD_LOGIC;ACLK:INSTD_LOGIC);END;ARCHITECTUREFFQOFDchuISSIGNALQ1:STD_LOGIC;BEGINPROCESS(ACLK,CLK,Q1)BEGINIFACLK='1'THENQ1<='0';ELSIFCLK'EVENTANDCLK='1'THENQ1<=D;ENDIF;ENDPROCESS;Q<=Q1;ENDFFQ;Q1CLKI >PKE□>CIRAULK >第三题:同步清零触发器LIBRARYIEEE;;ENTITYDchuISPORT(CLK,D:INSTD_LOGIC;Q:OUTSTD_LOGIC;SCLK:INSTD_LOGIC);END;ARCHITECTUREFFQOFDchuISSIGNALQ1:STD_LOGIC;BEGINPROCESS(SCLK,CLK,Q1)BEGINIFCLK'EVENTANDCLK='1'THENIFSCLK='1'THENQ1<='0:ELSEQ1<=D;ENDIF;ENDIF;ENDPROCESS;Q<=Q1;ENDFFQ;第四题:异步置位apreLIBRARYIEEE;;ENTITYDchuISPORT(CLK :INSTD_LOGIC;D :INSTD_LOGIC;Q :OUTSTD_LOGIC;APRE :INSTD_LOGIC);END;ARCHITECTUREFFQOFDchuISSIGNALQ1:STD_LOGIC;BEGINPROCESS(APRE,CLK,Q1)BEGINIFAPRE='1'THENQ1<='1';ELSIFCLK'EVENTANDCLK='1'THENQ1<=D;ENDIF;ENDPROCESS;Q<=Q1;31口RED>zCLftENDFFQ;AFRE一>DI>CLK匚二>第五题:同步置位spreLIBRARYIEEE;;ENTITYDchuISPORT(CLK:INSTD_LOGIC;D :INSTD_LOGIC;Q :OUTSTD_LOGIC;SPRE:INSTD_LOGIC);END;ARCHITECTUREFFQOFDchuISSIGNALQ1:STD_LOGIC;BEGINPROCESS(SPRE,CLK,Q1)BEGINIFCLK'EVENTANDCLK='1'THENIFSPRE='1'THENQ1<='1';ELSEQ1<=D;ENDIF;ENDIF;ENDPROCESS;Q<=Q1;ENDFFQ;第六题:异步清零,异步置位LIBRARYIEEE;;ENTITYDchuISPORT(CLK:INSTD_LOGIC;ACLR:INSTD_LOGIC;APRE:INSTD_LOGIC;D:INSTD_LOGIC;Q:OUTSTD_LOGIC);END;ARCHITECTUREFFQOFDchuISSIGNALQ1:STD_LOGIC;BEGINPROCESS(APRE,ACLR,CLK,Q1)BEGINIFACLR='1'THENQ1<='0';ELSIFAPRE='1'THENQ1<='1';ELSIFCLK'EVENTANDCLK='1'THENQ1<=D;ENDIF;ENDPROCESS;Q<=Q1;ENDFFQ;第七题:同步使能LIBRARYIEEE;;ENTITYDchuISPORT(CLK :INSTD_LOGIC;D :INSTD_LOGIC;Q :OUTSTD_LOGIC;EN :INSTD_LOGIC);END;ARCHITECTUREFFQOFDchuISSIGNALQ1:STD_LOGIC;BEGINPROCESS(EN,CLK,Q

最近更新

2024年师德师风专项整治情况报告(通用6篇) 16页

2024年市场部周工作总结5篇 12页

2024年市场营销自荐信15篇 21页

基于嵌入式系统的风力发电机组状态监测系统的.. 2页

基于屋顶特征的机器人同步定位与地图构建的开.. 2页

2024年市场经理工作职责 12页

2024年工资收入证明范本 9页

基于多元样条的三维曲面重构的开题报告 2页

基于复杂网络的大规模电信数据分析研究的开题.. 2页

2024年工程试用期转正工作总结(精选19篇) 56页

基于均匀B样条拟合的测量点采样研究的开题报告.. 2页

2024年工程管理的职业生涯规划(通用15篇) 72页

2024年工程的实习报告范文合集九篇 39页

2024年工程款协议(15篇) 38页

基于变结构协整的股指期货跨期套利研究的开题.. 2页

基于压缩感知理论的卫星遥感图像融合算法研究.. 2页

基于博弈论的异构无线网络接入选择方法研究中.. 2页

2024年工程合同范文汇编七篇 28页

工作录取通知书模板 7页

直线的“到角”“夹角”公式应用剖析和三角形.. 3页

丰城市资源循环利用产业基地招商优惠政策 6页

六项纪律生活会个人发言提纲 2页

党支部增补委员的选票 2页

2万气柜橡胶密封膜更换 28页

自动卷边封罐机进给系统设计【含CAD图纸、说明.. 32页

EPS构件施工合同 4页

EORTC QLQ-STO22问卷中文版 1页

CA6140车床法兰盘工艺规程及夹具设计答辩PPT 24页