1 / 20
文档名称:

五人多数表决器.doc

格式:doc   大小:347KB   页数:20页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

五人多数表决器.doc

上传人:sanshenglu2 2020/12/15 文件大小:347 KB

下载得到文件列表

五人多数表决器.doc

文档介绍

文档介绍:广西大学行健文理学院
FPGA课程设计
题目: 五人多数表决器


学 部: 电气信息学部
专 业: 电子科学与技术
班 级: 2012级1班
学 号: 1238340126
学生姓名: 郑大发
指导老师: 周柳娜
二〇一五年 一月
摘 要
在电子设计与制造技术的发展中,核心就是电子设计自动化(EDA,Electronic Design Automation)技术。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。本次设计实验就是基于EDA技术和EP1C6Q240C8芯片(FPGA),及其外围电路,实现了五人多数表决器的设计。设计模块主要包括:控制单元、计数单元、显示单元。从而实现了用人数多于或等于3来判决是否通过,从而达到设计要求。
关键词:EDA、VHDL、显示单元、控制单元

目录
设计要求 1
前言 1
1方案论证 1
1
2
3
2 基本功能模块设计与说明 3
3
3
4
3 软件设计 4
4 管脚说明 5
5 仿真现象 6
6 实验结论 9
7 实验总结 10
致谢 11
参考文献 12
附录 13

五人多数表决器
设计要求
1  五人多数表决逻辑:多数通过;
2  在主持人控制下,10秒内表决有效;
3  采用数码管显示表决10秒倒计时;
4  表决结束后用发光二极管及数码管显示表决结果,数码管显示结果形式:通过,不通过;
5 设主持人控制键,复位键:
        控制键:启动表决;
        复位键:系统复位。
前言
本课程设计是一个基于EDA技术的五人多数表决器,通过时间的限制,主持人的控制,共同决定通过与不通过。当投票的人数大于等于三个时,表明通过,否则不通过。该设计可以应用到简单的表决竞赛中。下过很好。当时间超过规定,以后的投票都视为无效。从而实现表决功能。
1方案论证

五人多数表决,只要在规定时间内,赞***数大于或等于三,则表决通过。因此,只需将每位表决人的结果相加,判断结果值。设五个开关作为表决器的五个输入变量,输入变量为逻辑“1”时,表示表决者“赞成”;输入变量为“0”时,表示表决者“不赞成
”。输出逻辑“1”时,表示表决“通过”;输出逻辑“0”时,表示表决“不通过”。当表决器的五个输入变量中有3个以上(含3个)为“1”时。则表决器输出为“1”;否则为“0”。
图1 五人多数表决器系统框图

1要实现供5人的表决器,由于是用于单片机表决器,而单片机的硬件电路与软件设计相结合的一种电路设计,因此在引硬件电路只能实按键的按下与释放,不能智能的实现检测按键被按下几次,因此该部分克用软件的汇编来实现其功能。
2主持人通过按键来控制表决的开始与复位,可有两个外部中断来实现,按下外部中断0 的按键式表示表决开始,黄灯亮,按下外部中断1是表示表决结束;当表决结束后统计“同意”和“反对”的票数,“同意”的票数大于 “反对”的票数时,绿灯被点亮。在扩展时加上了同意数和剩余时间数的显示,可以通过两个数码管。
图2 五人多数表决器系统框图

方案一通过FPGA芯片实现功能。方案二则改用STC89C52实现表决。二者都能很好地实