1 / 13
文档名称:

数字逻辑实验报告.docx

格式:docx   大小:1,044KB   页数:13页
下载后只包含 1 个 DOCX 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

数字逻辑实验报告.docx

上传人:幸福人生 2022/3/27 文件大小:1.02 MB

下载得到文件列表

数字逻辑实验报告.docx

相关文档

文档介绍

文档介绍:数字逻辑实验报告
武汉理工大学

院 校:
计算机科学与技术学院
专 业:
计算机科
数字逻辑实验报告
武汉理工大学

院 校:
计算机科学与技术学院
专 业:
计算机科学与技术
学 生 姓 名:
王旭
班 级:
Y1606
学号 0121610870113

2017 年 月 日
点击Next。注意:工程名称和存储路径中不能出现中文和空格,建议工程名称以字母、数字、下划线来组成
选择RTL Project一项,并勾选Do not specify sources at this time,为了跳过在新建工程的过程中添加设计源文件。
根据使用的FPGA开发平台,选择对应的FPGA目标器件。(在本手册中,以Xilinx大学计
划开发板 Digilent Basys3 为例,FPGA 采用 Artix-7 XC7A35T-1CPG236-C 的器件,即 Family 和 Subfamily 均为 Artix-7,封装形式(Package)为 CPG236,速度等级(Speed grade)为-1,温度等级(Temp Grade)为 C)。点击 Next。
确认相关信息与设计所用的的 FPGA 器件信息是否一致,一致请点击 Finish,不一致,请返回上一步修改。
得到如下的空白 Vivado 工程界面,完成空白工程新建。
设计文件输入:
点击 Flow Navigator 下的 Project Manager->Add Sources 或中间 Sources 中的对话框打开设计文件导入添加对话框。
选择第二项 Add or Create Design Sources,用来添加或新建 Verilog 源文件。
如果有现有的 V 文件,可以通过 Add Files 一项添加。在这里,我们要新建文件,所以选择 Create File 一项。
在 Create Source File 中输入 File Name,这里为 full_adder,点击 OK。注:名称中不可出现中文和空格。
新建的设计文件(此处为 )即存在于 Sources 中的 Design Sources 中。打开该文件,输入相应的设计代码。
根据已知的电路图得到以下 verilog 代码:
module
full adder(inout x,input y,input z,
output s,output c,
);
wire w1, w2, w3;
xor(w1, x, y);
and(w2, x, y);
xor(s, w1, z);
and(w3, w1, z);
or(c, w3, w2);
endmodule
点击 Flow Navigator 中 Synthesis 中的 Run Synthesis,对工程进行综合
综合完成之后,选择 Open Synthesized Design,打开综合结果
在layout中选择IO planning一项。
在右下方的选项卡中切换到I/O ports一栏,并在对应的信号后,输入对应的FPGA管脚标号,c,s,x,y,z的管脚分别设为E19,U19,V16,V17和w16(也可根据下方的引脚分配图1自行选择)并指定I/O std 电压为“LVCMOS33
完成之后,点击左上方工具栏中的保存按钮,工程提示新建 XDC 文件或选择工程中已
有的 XDC 文件。点击 OK 完成约束过程。
工程实现
在 Flow Navigator 中点击 Program and Debug 下的 Generate Bitstream 选项,工程会自动完成综合、实现、Bit 文件生成过程,完成之后,可点击 Open Implemented Design
来查看工程实现结果。
将 basys3 板用 mini usb 线连上电脑, 打开 basys3 上的电源开关,在Flow Navigator中展开Hardware Manager,点击Open New Target)在Flow Navigator中

最近更新

2024年青蓝工程总结 15页

科普知识竞赛题库【达标题】 16页

2023年春节活动策划方案书 春节活动策划方案大.. 16页

超星尔雅学习通《形势与政策(2024春)》章节.. 25页

食品安全法管理知识考试题库含完整答案(名校.. 27页

高等学校教师岗前培训考试暨教师资格笔试题库.. 21页

高等学校教师岗前培训考试暨教师资格笔试题库.. 22页

高中英语教研工作总结 52页

领导开幕式的致辞稿5篇 8页

2024年雪梅原文翻译及赏析 15页

2024年吉林工信厅直属事业单位公开招聘历年高.. 162页

公务员考试《数量关系题》题库及完整答案(名.. 106页

2024年《日常生活突发事故急救知识及处理方法.. 33页

2024年中职职业院校(学前教育及幼儿心理学)技.. 41页

2024年临床执业医师考试真题附参考答案【基础.. 29页

2024年事业单位招聘公共基础测验500题精品【夺.. 168页

2024年事业单位考试《言语理解与表达》题库含.. 178页

2024年事业单位考试常识判断题200道及答案【历.. 81页

2024年公务员考试《数量关系题》题库及参考答.. 105页

2024年公务员考试《言语理解与表达》题库附答.. 174页

2024年公务员考试常识判断题200道精品(预热题.. 78页

2024年兵团直属校园招聘考试笔试试题含答案(.. 249页

电力配网调度管理实践及其技术探讨 3页

俄罗斯联邦民法典 1页

锂电池专项应急预案 5页

GEEM2引擎武器衣服内观外观怪物代码表 12页

后备干部选拔面试问题优秀4篇 4页

汽修厂安全生产责任制 6页

士师记查经 62页

党员干部讲政治、讲纪律、讲规矩心得体会 13页