1 / 8
文档名称:

数电实验报告触发器.doc

格式:doc   大小:21KB   页数:8页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

数电实验报告触发器.doc

上传人:jenglot 2022/7/5 文件大小:21 KB

下载得到文件列表

数电实验报告触发器.doc

文档介绍

文档介绍:数电实验报告触发器
精品文档
数电实验报告触发器
一、 实验目的
1. 熟悉基本D触发器的功能测试。
2. 了解触发器的两种触发方式及触发特点。
3. 熟悉触发器的实际应用。
二、 试验设备
1. 数字电路试验 卓越001012班 学 号 00101201 姓 名 冉 艳 伟 实验时间
一(实验目的

II 软件使用操作有初步的了解,能用该软件进行简单的VHDL语言编程与功能仿真
3、掌握VHDL设计实体的基本结构及文字规则。
二(实验仪器

,JTAG连接线若干
三(实验内容
用VHDL代码输入的方法设计以下三个电路功能,并进
3 / 9
精品文档
行全程编译,执行功能和时序仿真。
1. 用VHDL语言描述D触发器功能。. 用VHDL语言描述JK触发器功能。. 用VHDL语言描述以下功能:
用双D触发器74LS74和与非门74LS00设计一个广告流水灯同步时序电路,广告流水灯有四个灯,这四个灯始终是一暗三明且暗灯循环右移,其状态图如图5-11所示,图中?表示灯亮,◎表示灯暗。
四(实验数据记录与处理
1. D触发器
1)VHDL语言
library ieee;
use ;
entity Dflipflop is
port; end Dflipflop;
architecture behavior of Dflipflop is begin
Process
begin
if clock'event and clock='1' then Q
end behavior;
2)功能仿真
建立波形文件,功能仿真结果如下:
3)时序仿真
4 / 9
精品文档
建立波形文件,时序仿真结果如下:
2. JK触发器
1)VHDL语言
LIBRARY ieee ;
USE ;
ENTITY jkflipflop IS
PORT ;
J,K Q
END jkflipflop ;
ARCHITECTURE Behavior OF jkflipflop IS SIGNAL
Q1: STD_LOGIC ; BEGIN
PROCESS BEGIN
IF Clock'EVENT AND Clock = '1' THEN
Q1 END IF ; Q END PROCESS ;
END Behavior ;
2)功能仿真
建立波形文件,功能仿真结果如下
数字电路与逻辑设计基础实验
任课教师:陈志坚
实验名称:触发器
云南大学 信息学院
一、实验目的
5 / 9
精品文档
? 学****触发器逻辑功能的测试方法 ? 进一步熟悉RS触发器、集成D触发器和JK触发器的逻辑功能及其触发方式
二、实验器材
? 直流稳压电源、数字逻辑实验箱 ?4LS00、74LS74、74LS76
三、实验内容和仿真