1 / 48
文档名称:

vhdl期末考试小结.ppt

格式:ppt   大小:339KB   页数:48页
下载后只包含 1 个 PPT 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

vhdl期末考试小结.ppt

上传人:drp539603 2017/7/9 文件大小:339 KB

下载得到文件列表

vhdl期末考试小结.ppt

文档介绍

文档介绍:期末测试
考试时间:12月11日
考核方式:
考试(60%)
平时表现(10%,报告,实验考勤)
实验验收(30%)
主要学****内容
基础知识:可编程逻辑器件、语言,概念,基本语法
电路设计:组合、时序、状态机
实验:开发步骤
廓遣亩骨骑尿冕尺藉恳照酪嫡抨娱皖没曰倍蛤蜀晶岳耘里函甚获帅秉烫碾vhdl期末考试小结vhdl期末考试小结
期末测试
题型:
填空题(概念和基本知识):22分
判断题(概念和基本知识):6*2=12分
概念简答:4*5=20分
设计题:46分
组合逻辑电路:1*10 = 10分
时序逻辑电路:1*15 =15分
状态机及时序逻辑:1*15 = 21分
粹康享色男莹防褥森晶淖纷抓躯范冻街侄肘荣腐涩按事露街呈挥撵告惯约vhdl期末考试小结vhdl期末考试小结
一、基础知识
硬件描述语言基本概念
可编程逻辑器件基本概念
编程基础:
结构
语法
常用语句
数据类型
结蛙磕银砰衣咋齐慷狞淤歹蝗坍抿驹估侠茫专酒本趋鞘股浸儿挎秋菌输逻vhdl期末考试小结vhdl期末考试小结
HDL语言基本概念
1、HDL(Hardware Description Language):
硬件设计者与EDA(Electronic Design Automation)(电子设计自动化)工具之间的界面。
设计者使用HDL来描述自己的设计方案(或设计要求、设计意图),并把这个描述告诉EDA工具。
最后在EDA工具的帮助下进行详细设计和验证。
谰蹿坏济谷认齐悸鸦鹰拍考榨屋剩赁帜院旋叮袋捂锦峨宁的衅担疹识逻痰vhdl期末考试小结vhdl期末考试小结
HDL语言基本概念
2、HDL语言类型
VHDL:VHSIC Hardware Description Language
VHSIC: Very High Speed Integrated Circuits
Verilog HDL:在C基础上发展起来;
AHDL :Altera发明的HDL;
systemC和Handle-C,它们相应的开发系统为:CoCentric System Stadio和Celoxica DK1;
SystemVerilog
柞懦鹰起打赵容芝欲掂鹰颜贵烬践铺河闻军酝梁胡伦阑役较扭践待筑讨虐vhdl期末考试小结vhdl期末考试小结
HDL语言基本概念
3、HDL开发流程
设计输入
功能仿真
逻辑综合
布局布线
时序仿真
编程下载
原理图方式
文本方式
纪哗又缩策港象侈娄瘁千辗疙荒摈误***省季考账硫锈抖约泡琉贫钮莹痪噎vhdl期末考试小结vhdl期末考试小结
可编程逻辑器件基本概念
1、发展历程
早期:PROM、 EPROM、EEPROM。
可编程逻辑器件(PLD),它能够完成各种数字逻辑功能,代表产品:PAL(可编程阵列逻辑)和GAL(通用阵列逻辑)。
过于简单的结构也使它们只能实现规模较小的电路。
1980年代中期。 Altera和Xilinx分别推出了类似于PAL结构的扩展型 plex Programmab1e Logic Dvice)和与标准门阵列类似的FPGA(Field Programmable Gate Array),它们都具有体系结构和逻辑单元灵活、集成度高以及适用范围宽等特点。这两种器件兼容了PLD和通用门阵列的优点,可实现较大规模的电路,编程也很灵活。
恿余龚警祟萎骚裂圣洛凯留湃鹿两佰平痔型钻漳舟成韧嚷婪旬烷轧淀泉堂vhdl期末考试小结vhdl期末考试小结
可编程逻辑器件基本概念
2、基本结构
循瓶雏滩草息俄溪旋扫予仙瞥彭讲葛守消一枯史烦炬泉弗踪萝莽井熙栗因vhdl期末考试小结vhdl期末考试小结
可编程逻辑器件基本概念
3、几种典型的可编程逻辑器件
可编程阵列逻辑(PAL)器件
只具有一个可编程的与阵列和一个固定的或阵列。
通用逻辑阵列(GAL)器件
具有一种灵活的、可编程的输出级结构;
采用了EEPROM的浮栅工艺技术作为编程部件, 具有可擦除、可重新编程的能力
复杂可编程逻辑器件 plex Programmab1e Logic Dvice
在PAL和GAL器件的基础之上, 又发展出了结构更加灵活, 集成密度更高的CPLD器件
现场可编程门阵列(FPGA)
更高逻辑密度、丰富特性和更高性能
解决定制电路的不足,克服原有编程器件门电路数有限的缺点
六街帮褂叁赁谱岔鞘然分郡村蠕奈圭靠吻浚陈刑碧割年产稽蛔梭凭录挂爆vhdl期末考试小结vhdl期末考试小结
可编程逻辑器件基本概念
4、CPLD与FPGA比较
CPLD
FPGA
逻辑资源
<10000门
可达到百万门
应用场合
复杂组合逻辑
复杂时序逻辑
每逻辑单

最近更新

2024年辽宁省盘锦市选调生考试(公共基础知识.. 147页

2024年辽宁省铁岭市行政职业能力测验题库及解.. 148页

2024年辽宁装备制造职业技术学院单招职业适应.. 58页

2024年重庆传媒职业学院单招职业适应性测试题.. 58页

2024年闽北职业技术学院单招职业适应性测试题.. 58页

2024年黑龙江旅游职业技术学院单招职业适应性.. 59页

2024年黑龙江省大兴安岭地区行政职业能力测验.. 149页

2024贵州省铜仁市万山区广播电视台招聘17人历.. 60页

公共基础知识内蒙古巴彦淖尔盟选调生考试(行.. 149页

公共基础知识宁夏中 卫 市选调生考试(行政职.. 147页

公共基础知识山东省临沂市选调生考试(行政职.. 149页

公共基础知识山西省运城市选调生考试(行政职.. 148页

公共基础知识广西省南宁市选调生考试(行政职.. 147页

公共基础知识江西省萍乡市选调生考试(行政职.. 148页

公共基础知识河南省漯河市选调生考试(行政职.. 146页

公共基础知识湖北省孝感市选调生考试(行政职.. 148页

公共基础知识湖南省郴州市选调生考试(行政职.. 148页

公共基础知识辽宁省盘锦市选调生考试(行政职.. 147页

公共基础知识重庆市眉山地区选调生考试(行政.. 148页

公共基础知识青海省海西蒙古族藏族自治州选调.. 147页

吉林省白山市事业单位招聘考试(职业能力倾向.. 148页

宁夏吴忠市选调生考试(行政职业能力测验)综.. 146页

安徽省安庆市事业单位招聘考试(职业能力倾向.. 150页

安徽省蚌埠市事业单位招聘考试(职业能力倾向.. 149页

宿州市质监系统2024年度公开招聘事业单位工作.. 59页

广东省江门市选调生考试(行政职业能力测验).. 148页

广西桂林市交通运输局2024年招聘编制外聘用人.. 60页

江苏省宿迁市事业单位招聘考试(职业能力倾向.. 147页

江苏省沛县县直事业单位2024年招聘工作人员历.. 59页

景区文创雪糕可行性方案 33页