1 / 63
文档名称:

基于FPGA的电梯控制系统设计毕业设计论文.doc

格式:doc   大小:3,778KB   页数:63页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

基于FPGA的电梯控制系统设计毕业设计论文.doc

上传人:文档大全 2020/12/27 文件大小:3.69 MB

下载得到文件列表

基于FPGA的电梯控制系统设计毕业设计论文.doc

相关文档

文档介绍

文档介绍:毕 业 设 计 [论 文]
题 目: 基于FPGA的电梯控制系统设计
学 院: 电气与信息工程学院
专 业: 电 子 信 息 工 程
姓 名:
学 号:
指导老师:
完成时间: 2013年X月X日
摘 要
电梯作为现代化的产物,早在上个世纪就已经进入了人们的生活之中。随着城市建设的不断发展,高层建筑的不断增多,电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。目前电梯控制系统主要有三种控制方式:继电路控制系统、FPGA/CPLD的控制系统、微机控制系统。FPGA/CPLD控制系统由于运行可靠性高、使用维修方便、抗干扰性强、设计和调试周期较短等优点,倍受人们重视,已经成为目前在电梯控制系统中使用最多的控制方式。
本文设计了一款基于FPGA 的四层电梯控制系统设计。该控制系统主要有按键输入部分、FPGA控制处理部分、显示部分、提醒部分等组成。该控制系统以FPGA 芯片EP2C5T114为核心,采用VHDL 语言进行描述,采用有限状态机的设计方法完成的。通过程序仿真调试及硬件运行,结果表明,本程序可以完成电梯运行所有按键请求输入、按键指示灯显示、楼层显示、电梯运行方向指示、关门延时、到达提醒等,电梯运行正确无误。该设计采用模块化编程,升级可实现任意多层电梯系统,具有很强的适应性和实用性。
关键词:VHDL, 电梯控制器, FPGA , Quartus II
Abstract
As a modern elevator product early in the last century has entered people's lives. With the continuous development of urban construction, the growing number of high-rise buildings, the elevator as high-rise buildings in a vertical run of transport has been inextricably linked with people's daily lives. Currently there are three main elevator control system control: Following the circuit control system, FPGA / CPLD control systems, computer control system. FPGA / CPLD control system due to high reliability, easy maintenance, strong anti-jamming design and debug cycle is shorter, etc., much of the attention of people, has become in the elevator control system using the most control.
This paper presents an FPGA-based four-story elevator control system design. The control system has the key input section, FPGA control processing section, a display section, reminding parts and other components. The control system EP2C5T114 FPGA chip as the core, using VHDL language to describe finite state machine design complete. Simulation and hardware debugging through the program r