1 / 5
文档名称:

分频器实验报告(共5页).docx

格式:docx   大小:111KB   页数:5页
下载后只包含 1 个 DOCX 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

分频器实验报告(共5页).docx

上传人:bai1968104 2022/5/6 文件大小:111 KB

下载得到文件列表

分频器实验报告(共5页).docx

文档介绍

文档介绍:精选优质文档-----倾情为你奉上
精选优质文档-----倾情为你奉上
专心---专注---专业
专心---专注---专业
精选优质文档-----倾情为你奉上
专心---专注---专业
洛阳理工学院实验报告
系部
计算l m1,m2: std_logic;
begin
process(clk,c1)
begin
if rising_edge(clk) then
if(c1="110")then c1<="000";else c1<=c1+1;end if;
if(c1="001")then m1<=not m1;elsif (c1="100") then m1<=not m1;
end if;end if;
end process;
process(clk,c2) begin
if falling_edge(clk) then
if(c2="110")then c2<="000";else c2<=c2+1;end if;
if(c2="001")then m2<=not m2;elsif (c2="100") then m2<=not m2;
end if;end if;
end process;
k1<=m1;k2<=m2;k_or<=m1 or m2;
end bhv;
(3) 文件存盘。选择File—Save as命令,找到已经建立的文件夹cnt10,存盘文件名应该与实体名一致,。当出现问句“Do you want to creat····”单击“是”按钮。
2:创建工程。
建立新工程管理窗口。单击对话框第二栏右侧“···”按钮,找到文件夹d:\work\ cnt10,,再单击“打开”按钮。
将设计文件加入工程中。单击Next按钮,在弹出的对话框中单击File栏后的按钮将与工程相关的所有VHDL文件都加入此工程。
选择目标芯片。单击Next按钮,选择目标器件即EP3C5E144C8。
工具设置。
结束设置。
3:半程编译。
精选优质文档-----倾情为你奉上
精选优质文档-----倾情为你奉上
专心---专注---专业
专心---专注---专业
精选优质文档-----倾情为你奉上
专心---专注---专业
编译前首先选择Processing→Start Complilation命令,启动半程编译。
4:时序仿真。
工程编译通过后
打开波形编辑器。选择File→New命令,在新建窗口选择Vector Waveform File选项,单击OK按钮。
设置仿真时间区域。
波形文件存盘。选择File→Save As,\work\ cnt10中。
将工程shift的端口信号节点选入波形编辑器中。
编辑输入波形。
启动仿真器。现在所有的设置进行完毕,选择Processing→Start Simulation命令,直到出现Simulation was successful,仿真结束。
观察仿真结果。如下图:
奇数分频器的编译与仿真:
偶次分频与上述实验步骤一致。
源程序:
library ieee;
u