目录一、实验任务 2实验目的 2实验要求 2二、设计思路 2硬件部分 2软件部分 3三、完成情况 6四、总结与收获...
页数:16页|格式:doc下载文档
library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.AL...
页数:20页|格式:doc下载文档
/*PCF8563可调时钟日历定义P0口为段输出端口定义P1口为位输出端口用74HC573驱动数码管四按键可调时间日期*/...
页数:30页|格式:doc下载文档
课程设计(论文)说明书题目: 数字时钟设计院(系): **************专业: ********学生姓名: ******学号: ****...
页数:39页|格式:doc下载文档
基于单片机的多功能电子时钟设计姓名:学号:专业:电子信息工程目录1 使用硬件模块介绍 11.1 STC89C52单片机最...
页数:45页|格式:doc下载文档
24计数器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity hour isport(...
页数:4页|格式:doc下载文档
基于单片机的多功能电子时钟设计姓名:江伟学号:0909054108专业:电子信息工程目录1 使用硬件模块介绍 11.1 S...
页数:45页|格式:doc下载文档
目录1题目设计的要求 12 系统硬件设计 12.1 设计原理 12.2 器件的功能与作用 12.2.1 MCS51单片机AT89C51 12...
页数:14页|格式:docx下载文档
介绍时钟日历芯片DS12C887kingmacth 发表于单片机分类,标签: 时钟单片机 DS12C88731 三月 200812 引脚功能D...
页数:11页|格式:doc下载文档
电子日历时钟(Electronic calendar clock).doc
电子日历时钟(Electronic calendar clock)#包括<< reg51. H ># unsigned int定义单元#定义unsigned char函数...
页数:39页|格式:doc下载文档
目录前言 - 1 -一、设计目的和要求 - 1 -1.1设计目的 - 1 -1.2设计要求 - 1 -二、设计的方案的选择 - 1 -三...
页数:13页|格式:doc下载文档
; LCD显示时钟,第一行显示设定日期" DATE XXXX-XX-XX " ,第二行显示设定时间" TIME XX-XX-XX " ,开始时两行...
页数:7页|格式:doc下载文档
安徽工业大学工商学院Industrial & Commercial College,Anhui University of Technology毕业论文(设计)题目...
页数:32页|格式:doc下载文档
数学日记三年级-数学日记三年级 小学三年级数学日记精选.doc
数学日记三年级-数学日记三年级小学三年级数学日记精选数学日记以日记形式把数学学习与生活联系了起来,让学...
页数:6页|格式:doc下载文档
数字钟电路设计目录前言: 41.设计目的 62.设计功能要求 63.电路设计 63.1设计方案 63.2单元电路的设计 73.2...
页数:30页|格式:doc下载文档
目录1题目设计的要求 12 系统硬件设计 12.1 设计原理 12.2 器件的功能与作用 12.2.1 MCS51单片机AT89C51 12...
页数:15页|格式:docx下载文档
NTP子钟 GPS数字时钟 子母钟 双面时钟 二联子钟 三联子钟.doc
博昊威尔(pohover)网络系列子母钟同步授时产品紧跟国际授时领域革新步伐,创造性的把网络授时方式引入子母钟...
页数:7页|格式:doc下载文档
数学日记三年级-数学日记三年级小学三年级数学日记精选.doc
数学日记三年级-数学日记三年级小学三年级数学日记精选数学日记以日记形式把数学学习与生活联系了起来,让学...
页数:6页|格式:doc下载文档
数学日记三年级-数学日记三年级 小学三年级数学日记精选.doc
数学日记以日记形式把数学学习与生活联系了起来,让学生从周围熟知的、亲近的情境中感知数学。今天学习啦小编...
页数:6页|格式:doc下载文档
数学日记三年级-数学日记三年级 小学三年级数学日记精选.doc
数学日记以日记形式把数学学习与生活联系了起来,让学生从周围熟知的、亲近的情境中感知数学。今天学习啦小编...
页数:6页|格式:doc下载文档
数学日记三年级-数学日记三年级 小学三年级数学日记精选.doc
数学日记三年级-数学日记三年级小学三年级数学日记精选数学日记以日记形式把数学学习与生活联系了起来,让学...
页数:6页|格式:doc下载文档
数学日记三年级 数学日记三年级小学三年级数学日记精选.doc
数学日记三年级-数学日记三年级小学三年级数学日记精选数学日记以日记形式把数学学习与生活联系了起来,让学...
页数:6页|格式:doc下载文档
数学日记三年级-数学日记三年级 小学三年级数学日记精选课件.doc
数学日记三年级-数学日记三年级小学三年级数学日记精选数学日记以日记形式把数学学习与生活联系了起来,让学...
页数:6页|格式:doc下载文档
数学日记三年级 数学日记三年级小学三年级数学日记精选.doc
数学日记三年级-数学日记三年级小学三年级数学日记精选数学日记以日记形式把数学学习与生活联系了起来,让学...
页数:6页|格式:doc下载文档
数学日记三年级-数学日记三年级小学三年级数学日记精选数学日记以日记形式把数学学习与生活联系了起来,让学...
页数:6页|格式:doc下载文档
数学日记三年级-数学日记三年级小学三年级数学日记精选数学日记以日记形式把数学学习与生活联系了起来,让学...
页数:6页|格式:doc下载文档
数字钟电路设计目录前言: 41.设计目的 62.设计功能要求 63.电路设计 63.1设计方案 63.2单元电路的设计 73.2...
页数:30页|格式:doc下载文档