1 / 3
文档名称:

四位二进制除法器.doc

格式:doc   大小:57KB   页数:3页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

四位二进制除法器.doc

上传人:fangjinyan2017001 2019/9/21 文件大小:57 KB

下载得到文件列表

四位二进制除法器.doc

文档介绍

文档介绍:四位二进制除法器设计李道通**********、设计方法采用移位相减法设计二进制除法器:被除数和除数都是二进制数,采用将除数移位的方法。1)判断除数是否零:如果除数为零,返回等待;2)除数不为零时,C左移一位,将被除数A的最高位赋值给C的最低位,A左移一位,将最低位赋值为零;3)判断C和除数B的大小,若C>=B,这C=C-B,且A的最低位赋值为1。4)如此循环四次,得到的A即为商,得到的C为余数。该算法的好处在于被除数和商公用一个寄存器A,节省资源。2、算法流程图图中:被除数和除数分别放在A、B中,商余数分别放在A和C,N为计数器3、VHDL程序代码:LIBRARYIEEE;;;;;ENTITYldt_chufaqiISPORT(A,B:INSTD_LOGIC_VECTOR(3DOWNTO0);C,D:OUTSTD_LOGIC_VECTOR(3DOWNTO0));ENDENTITYldt_chufaqi;ARCHITECTUREBEHAVOFldt_chufaqiISBEGINS1:PROCESS(A,B)VARIABLEN:INTEGER;VARIABLETEMP_A,TEMP_B,TEMP_C:STD_LOGIC_VECTOR(3DOWNTO0);BEGINTEMP_A:=A;TEMP_B:=B;TEMP_C:="0000";N:=0;IF(B>"0000")THENWHILE(N<4)LOOPTEMP_C:=TEMP_C(2DOWNTO0)&TEMP_A(3);TEMP_A:=TEMP_A(2DOWNTO0)&'0';